Chisel教程——02.Chisel环境配置和第一个Chisel模块的实现与测试-程序员宅基地

技术标签: 环境配置  Chisel速成班教程  scala  risc-v  Chisel  CPU设计实现  

Chisel环境配置和第一个Chisel模块的实现与测试

动机

现在已经对Scala有一定的了解了,可以开始构造一些硬件了。Chisel的全称为Constructing Hardware In a Scala Embedded Language,是一个基于Scala的DSL(Domain Specific Language,特定领域专用语言),因此可以在同一串代码内兼得Scala和Chisel编程的优点。

理解哪些代码是Scala哪些又是Chisel这点很重要,不过后面再谈。

现在开始,应该把Chisel和本章的代码看作写Verilog更好的方式。本章会展示一个完整的Chisel模块和测试模块,用于了解相关要点,后续后给出更多充足的例子。

环境配置(先不包括Chisel)

  1. 安装JDK、git、make和gtkwave等基本环境:

    sudo apt install openjdk-8-jdk git make gtkwave
    
  2. 安装sbt

    echo "deb https://repo.scala-sbt.org/scalasbt/debian all main" | sudo tee /etc/apt/sources.list.d/sbt.list
    echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee /etc/apt/sources.list.d/sbt_old.list
    curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add
    sudo apt-get update
    sudo apt-get install sbt
    
  3. 在VS Code中安装插件,先安装Scala Syntax (official),再安装Scala (Metals)

    在这里插入图片描述 在这里插入图片描述

  4. Scala测试

    1. 新建源文件test.scala

      object HelloScala extends App {
              
        println("Hello Scala")
      }
      
    2. 运行:

      sbt run
      
    3. 结果如下:

      在这里插入图片描述

  5. 但是上面的步骤仅仅测试了Scala,并不是Chisel,进一步测试:

    git clone https://github.com/schoeberl/chisel-examples.git
    cd chisel-examples/hello-world
    make
    sbt test
    

    结果如下:

    在这里插入图片描述

项目文件目录结构(包括Chisel安装)

Chisel项目和Java这种类似,一般是有标准的目录结构的,对于Chisel而言,使用Scala项目构建工具sbt会很方便。

使用sbt需要在项目文件夹下应有一个build.sbt文件,这个文件长这样(这样在执行sbt run的时候就会下载安装相应的依赖,比如这里会安装Chisel 3.5):

scalaVersion := "2.12.13"

scalacOptions ++= Seq(
  "-feature",
  "-language:reflectiveCalls",
)

resolvers ++= Seq(
  Resolver.sonatypeRepo("releases")
)

// Chisel 3.5
addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % "3.5.0" cross CrossVersion.full)
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.5.0"
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "0.5.0"

这个文件会指定很多信息,比如Scala的版本、依赖的库等。

然后项目文件夹下,应该有src/main/scala/路径和src/test/scala,分别用于存放主程序和测试用代码。比如:

在这里插入图片描述

这里用之前的测试用例里面的代码,暂且先不管代码具体啥意思:

// Hello.scala
/*
 * This code is a minimal hardware described in Chisel.
 * 
 * Blinking LED: the FPGA version of Hello World
 */

import chisel3._

/**
 * The blinking LED component.
 */

class Hello extends Module {
    
  val io = IO(new Bundle {
    
    val led = Output(UInt(1.W))
  })
  val CNT_MAX = (50000000 / 2 - 1).U

  val cntReg = RegInit(0.U(32.W))
  val blkReg = RegInit(0.U(1.W))

  cntReg := cntReg + 1.U
  when(cntReg === CNT_MAX) {
    
    cntReg := 0.U
    blkReg := ~blkReg
  }
  io.led := blkReg
}

/**
 * An object extending App to generate the Verilog code.
 */
object Hello extends App {
    
  (new chisel3.stage.ChiselStage).emitVerilog(new Hello())
}

和:

// HelloTest.scala
import chiseltest._
import org.scalatest.flatspec.AnyFlatSpec

class HelloTest extends AnyFlatSpec with ChiselScalatestTester {
    
  behavior of "Hello"
  it should "pass" in {
    
    test(new Hello) {
     c =>
      c.clock.setTimeout(0)
      var ledStatus = BigInt(-1)
      println("Start the blinking LED")
      for (_ <- 0 until 100) {
    
        c.clock.step(10000)
        val ledNow = c.io.led.peek().litValue
        val s = if (ledNow == 0) "o" else "*"
        if (ledStatus != ledNow) {
    
          System.out.println(s)
          ledStatus = ledNow
        }
      }
      println("\nEnd the blinking LED")
    }
  }
}

分别保存到相应的路径之后,在项目根目录下执行以下命令即可编译运行Hello.scala

sbt run

结果如下:

在这里插入图片描述

执行以下命名可以编译运行HelloTest.scala

sbt test

结果如下:

在这里插入图片描述

出现类似的结果就表示代码成功运行并且测试通过了。

当然了,最推荐的还是使用官方提供的模板freechipsproject/chisel-template: A template project for beginning new Chisel work (github.com),直接修改其中的源代码文件即可。

创建一个Chisel模块

首先导入Chisel库里面的类,先不管都有些啥:

import chisel3._

然后我们写一个Chisel的Module,名为Passthrough,功能很简单,4-bit的输入in,4-bit的输出out,然后这个模块把inout直接连在一起,由in驱动out,简单地说就是输入啥就输出啥:

// Chisel Code: Declare a new module definition
class Passthrough extends Module {
    
  val io = IO(new Bundle {
    
    val in = Input(UInt(4.W))
    val out = Output(UInt(4.W))
  })
  io.out := io.in
}

逐行解析一下:

  1. class Passthrough extends Module { …}:创建一个新的模块叫做PassthroughModule是Chisel的内置类,实现任何硬件模块都需要从它extends

  2. val io = IO(...):这一句把所有的输入输出端口定义在val io中,这地方这个变量必须叫做io且是一个IO对象或实例,需要IO(_instantiated_bundle_)这样的形式;

  3. new Bundle {
          
        val in = Input(...)
        val out = Output(...)
      }
    

    这里是声明了一个新的硬件结构类型(Bundle),它包含了命名信号inout,方向分别为输入输出;

  4. UInt(4.W):声明了信号的硬件类型,这里是宽度为4的无符号整数;

  5. io.out := io.in:把输入端口连接到了输出端口上,这样就是io.in驱动io.out了。需要注意的是:=是一个Chisel运算符,表示右边的信号驱动左边的信号,是个有方向的操作符。

  6. 硬件构造语言(HCL,Hardware Construction Languages)的巧妙之处在于可以将底层编程语言用作脚本语言,比如在声明了我们的Chisel模块之后,我们可以使用Scala调用Chisel编译器,来将Chisel Passthrough翻译为Verilog Passthrough,这个过程叫展开(elaboration):

    object Passthrough extends App {
          
      println(getVerilogString(new Passthrough))
    }
    

    运行结果如下:

    在这里插入图片描述

创建一个Chisel模块生成器

Chisel模块其实就是一个Scala的类,所以和其他的Scala类一样,可以给Chisel模块提供一些构造参数。这里我们创建一个类PassthroughGenerator,这个类接受一个整数参数width,用于指定输入输出的端口宽度:

// Chisel Code, but pass in a parameter to set widths of ports
class PassthroughGenerator(width: Int) extends Module {
     
  val io = IO(new Bundle {
    
    val in = Input(UInt(width.W))
    val out = Output(UInt(width.W))
  })
  io.out := io.in
}

// Let's now generate modules with different widths
object Passthrough extends App {
    
  println(getVerilogString(new PassthroughGenerator(10)))
  println(getVerilogString(new PassthroughGenerator(20)))
}

执行sbt run结果如下:

在这里插入图片描述

可以看到,生成的Verilog代码分别对应了不同width参数值,这里类的参数化是Scala本身具备的功能。

由于PassthroughGenerator已经不再是描述单个模块了,而是通过width参数化的一族模块,因此把这个类叫做generator,也就是生成器。

创建一个测试器

没有测试器测试硬件肯定是不行的,Chisel有内置的测试功能,下面这个例子就是一个Chisel的测试器,传递值给Passthrough的实例的输入端口in,检查输出端口out输出的信号是否复合预期。

语法是用poke来设置输入信号,用expect来检查输出信号:

import chisel3._
import chiseltest._
import org.scalatest.flatspec.AnyFlatSpec

class PassthroughTest extends AnyFlatSpec with ChiselScalatestTester {
    
  behavior of "PassthroughGenerator"
  it should "pass through bits" in {
    
    test(new PassthroughGenerator(3)) {
     c =>
      c.io.in.poke(0.U)     // Set our input to value 0
      c.io.out.expect(0.U)  // Assert that the output correctly has 0
      c.io.in.poke(1.U)     // Set our input to value 1
      c.io.out.expect(1.U)  // Assert that the output correctly has 1
      c.io.in.poke(2.U)     // Set our input to value 2
      c.io.out.expect(2.U)  // Assert that the output correctly has 2
    }
    println("SUCCESS!!") // Scala Code: if we get here, our tests passed!
  }
}

其中:

behavior of "PassthroughGenerator"
it should "pass through bits" in {
    
  test(...) {
    
      ...
  }
}

中的behavior of "PassthroughGenerator"it should "pass through bits" in会在测试中输出测试的相关信息。

输入命令sbt test进行测试,结果如下:

在这里插入图片描述

我们也可以进行多个实例的测试,比如分别测试width为10和20的Passthrough的边界情况:

import chisel3._
import chiseltest._
import org.scalatest.flatspec.AnyFlatSpec

class PassthroughTest extends AnyFlatSpec with ChiselScalatestTester {
    
  behavior of "PassthroughGenerator"
  it should "pass through bits" in {
    
    test(new PassthroughGenerator(10)) {
     c =>
      c.io.in.poke(0.U)
      c.io.out.expect(0.U)
      c.io.in.poke(1023.U)
      c.io.out.expect(1023.U)
    }
    test(new PassthroughGenerator(20)) {
     c =>
      c.io.in.poke(0.U)
      c.io.out.expect(0.U)
      c.io.in.poke(1048575.U)
      c.io.out.expect(1048575.U)
    }
    println("SUCCESS!!") // Scala Code: if we get here, our tests passed!
  }
}

结果如下:

在这里插入图片描述

关于生成的Verilog和FIRRTL

如果一时半会儿还不习惯Chisel对电路的描述,可以输出生成的Verilog代码或FIRRTL:

注意,本系列使用的Chisel 3.5里面的很多API与官方教程里面不同,尤其需要注意,可以通过这个链接检索API:Chisel/FIRRTL: Chisel API Documentation (chisel-lang.org),目前更新到了3.5.0。

首先是生成Verilog代码,接口为getVerilogString()import chisel3._就可以用了:

println(getVerilogString(new PassthroughGenerator(10)))

结果如下:

module PassthroughGenerator(
  input        clock,
  input        reset,
  input  [9:0] io_in,
  output [9:0] io_out
);
  assign io_out = io_in; // @[Passthrough.scala 10:10]
endmodule

然后是生成Firrtl代码,这个API需要import chisel3.stage.ChiselStage.emitFirrtl,使用如下:

println(emitFirrtl(new PassthroughGenerator(10)))

输出如下:

在这里插入图片描述

通过printf进行调试

虽然用 print 语句进行调试不是最好的方法,但确实最简单的方法。

由于Chisel生成器是生成硬件的程序,所以在输出生成器和电路状态时存在一些细节需要注意,重点是要明确 print 语句是什么时候执行的、打印的内容是什么。

有三种常见场景需要作区分:

  1. Chisel生成器在生成电路的时候打印输出;
  2. 电路在仿真期间打印输出;
  3. 测试器在测试期间打印输出;

println是Scala的内置函数,可以向控制台输出信息,但是不能用于在电路仿真的时候输出,因为生成的电路不是Scala语言的,而是Verilog或者FIRRTL的。

比如下面的情况:

// Passthrough.scala
import chisel3._

class PassthroughGenerator(width: Int) extends Module {
    
  val io = IO(new Bundle {
    
    val in = Input(UInt(width.W))
    val out = Output(UInt(width.W))
  })
  io.out := io.in

  printf("Print during simulation: Input is %d\n", io.in)
  // chisel printf has its own string interpolator too
  printf(p"Print during simulation: IO is $io\n")

  println(s"Print during generation: Input is ${
      io.in}")
}

object Passthrough extends App {
    
  println(getVerilogString(new PassthroughGenerator(10)))
  println(getVerilogString(new PassthroughGenerator(20)))
}
// PassthroughTest.scala
import chisel3._
import chiseltest._
import chisel3.stage.ChiselStage.emitFirrtl
import org.scalatest.flatspec.AnyFlatSpec

class PassthroughTest extends AnyFlatSpec with ChiselScalatestTester {
    
  behavior of "PassthroughGenerator"
  it should "pass through bits" in {
    
    test(new PassthroughGenerator(10)) {
     c =>
      c.io.in.poke(3.U)
      c.clock.step(5) // circuit will print
      
      println(s"Print during testing: Input is ${
      c.io.in.peek()}")
    }
    println("SUCCESS!!") // Scala Code: if we get here, our tests passed!
  }
}

输出如下:

在这里插入图片描述

可以看到,println只会在生成和测试的时候输出,printf只会在仿真的时候输出。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_43681766/article/details/122860354

智能推荐

什么是内部类?成员内部类、静态内部类、局部内部类和匿名内部类的区别及作用?_成员内部类和局部内部类的区别-程序员宅基地

文章浏览阅读3.4k次,点赞8次,收藏42次。一、什么是内部类?or 内部类的概念内部类是定义在另一个类中的类;下面类TestB是类TestA的内部类。即内部类对象引用了实例化该内部对象的外围类对象。public class TestA{ class TestB {}}二、 为什么需要内部类?or 内部类有什么作用?1、 内部类方法可以访问该类定义所在的作用域中的数据,包括私有数据。2、内部类可以对同一个包中的其他类隐藏起来。3、 当想要定义一个回调函数且不想编写大量代码时,使用匿名内部类比较便捷。三、 内部类的分类成员内部_成员内部类和局部内部类的区别

分布式系统_分布式系统运维工具-程序员宅基地

文章浏览阅读118次。分布式系统要求拆分分布式思想的实质搭配要求分布式系统要求按照某些特定的规则将项目进行拆分。如果将一个项目的所有模板功能都写到一起,当某个模块出现问题时将直接导致整个服务器出现问题。拆分按照业务拆分为不同的服务器,有效的降低系统架构的耦合性在业务拆分的基础上可按照代码层级进行拆分(view、controller、service、pojo)分布式思想的实质分布式思想的实质是为了系统的..._分布式系统运维工具

用Exce分析l数据极简入门_exce l趋势分析数据量-程序员宅基地

文章浏览阅读174次。1.数据源准备2.数据处理step1:数据表处理应用函数:①VLOOKUP函数; ② CONCATENATE函数终表:step2:数据透视表统计分析(1) 透视表汇总不同渠道用户数, 金额(2)透视表汇总不同日期购买用户数,金额(3)透视表汇总不同用户购买订单数,金额step3:讲第二步结果可视化, 比如, 柱形图(1)不同渠道用户数, 金额(2)不同日期..._exce l趋势分析数据量

宁盾堡垒机双因素认证方案_horizon宁盾双因素配置-程序员宅基地

文章浏览阅读3.3k次。堡垒机可以为企业实现服务器、网络设备、数据库、安全设备等的集中管控和安全可靠运行,帮助IT运维人员提高工作效率。通俗来说,就是用来控制哪些人可以登录哪些资产(事先防范和事中控制),以及录像记录登录资产后做了什么事情(事后溯源)。由于堡垒机内部保存着企业所有的设备资产和权限关系,是企业内部信息安全的重要一环。但目前出现的以下问题产生了很大安全隐患:密码设置过于简单,容易被暴力破解;为方便记忆,设置统一的密码,一旦单点被破,极易引发全面危机。在单一的静态密码验证机制下,登录密码是堡垒机安全的唯一_horizon宁盾双因素配置

谷歌浏览器安装(Win、Linux、离线安装)_chrome linux debian离线安装依赖-程序员宅基地

文章浏览阅读7.7k次,点赞4次,收藏16次。Chrome作为一款挺不错的浏览器,其有着诸多的优良特性,并且支持跨平台。其支持(Windows、Linux、Mac OS X、BSD、Android),在绝大多数情况下,其的安装都很简单,但有时会由于网络原因,无法安装,所以在这里总结下Chrome的安装。Windows下的安装:在线安装:离线安装:Linux下的安装:在线安装:离线安装:..._chrome linux debian离线安装依赖

烤仔TVの尚书房 | 逃离北上广?不如押宝越南“北上广”-程序员宅基地

文章浏览阅读153次。中国发达城市榜单每天都在刷新,但无非是北上广轮流坐庄。北京拥有最顶尖的文化资源,上海是“摩登”的国际化大都市,广州是活力四射的千年商都。GDP和发展潜力是衡量城市的数字指...

随便推点

java spark的使用和配置_使用java调用spark注册进去的程序-程序员宅基地

文章浏览阅读3.3k次。前言spark在java使用比较少,多是scala的用法,我这里介绍一下我在项目中使用的代码配置详细算法的使用请点击我主页列表查看版本jar版本说明spark3.0.1scala2.12这个版本注意和spark版本对应,只是为了引jar包springboot版本2.3.2.RELEASEmaven<!-- spark --> <dependency> <gro_使用java调用spark注册进去的程序

汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用_uds协议栈 源代码-程序员宅基地

文章浏览阅读4.8k次。汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用,代码精简高效,大厂出品有量产保证。:139800617636213023darcy169_uds协议栈 源代码

AUTOSAR基础篇之OS(下)_autosar 定义了 5 种多核支持类型-程序员宅基地

文章浏览阅读4.6k次,点赞20次,收藏148次。AUTOSAR基础篇之OS(下)前言首先,请问大家几个小小的问题,你清楚:你知道多核OS在什么场景下使用吗?多核系统OS又是如何协同启动或者关闭的呢?AUTOSAR OS存在哪些功能安全等方面的要求呢?多核OS之间的启动关闭与单核相比又存在哪些异同呢?。。。。。。今天,我们来一起探索并回答这些问题。为了便于大家理解,以下是本文的主题大纲:[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-JCXrdI0k-1636287756923)(https://gite_autosar 定义了 5 种多核支持类型

VS报错无法打开自己写的头文件_vs2013打不开自己定义的头文件-程序员宅基地

文章浏览阅读2.2k次,点赞6次,收藏14次。原因:自己写的头文件没有被加入到方案的包含目录中去,无法被检索到,也就无法打开。将自己写的头文件都放入header files。然后在VS界面上,右键方案名,点击属性。将自己头文件夹的目录添加进去。_vs2013打不开自己定义的头文件

【Redis】Redis基础命令集详解_redis命令-程序员宅基地

文章浏览阅读3.3w次,点赞80次,收藏342次。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。当数据量很大时,count 的数量的指定可能会不起作用,Redis 会自动调整每次的遍历数目。_redis命令

URP渲染管线简介-程序员宅基地

文章浏览阅读449次,点赞3次,收藏3次。URP的设计目标是在保持高性能的同时,提供更多的渲染功能和自定义选项。与普通项目相比,会多出Presets文件夹,里面包含着一些设置,包括本色,声音,法线,贴图等设置。全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,主光源和附加光源在一次Pass中可以一起着色。URP:全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,一次Pass可以计算多个光源。可编程渲染管线:渲染策略是可以供程序员定制的,可以定制的有:光照计算和光源,深度测试,摄像机光照烘焙,后期处理策略等等。_urp渲染管线

推荐文章

热门文章

相关标签