UART 串口通信_uart串口通信-程序员宅基地

技术标签: fpga开发  FPGA  # FPGA 开发  

第18.1讲 UART串口通信原理讲解_哔哩哔哩_bilibili

并行通信

一个周期同时发送8bit的数据,占用引脚资源多

img

串行通信

img

串行通信的通信方式:

  • 同步通信

同一时钟下进行数据传输

img

  • 异步通信

发送设备和接收设备的时钟不同

但是需要约束波特率(1s内传输的bit数)

img

串行通信的传输方向:

img

常见串行通信接口

img

UART

UART(universal asynchronous receiver-transmitter):通用异步收发传输器

异步串行通信

功能:

  • 发送数据时将并行数据转换为串行数据进行传输
  • 接收数据时将串行数据转换为并行行数据进行传输

协议层

数据格式

img

校验位:奇偶校验

UART使用两根信号线实现,一根用于串口发送,另一根负责串口接收

传输速率 波特率

串口通信的速率用波特率表示,它表示每秒传输的二进制数据的位数,单位为bps(位/秒)

9600 19200 38400…

1s=109ns1 s = 10^9 ns 1s=109ns

假设波特率是115200 bit/s

那么发送一个bit需要 10910^9109/ 115200 ns

当频率为50Hz的时候,一个周期为 20 ns

发送一个bit需要的周期数为: 109/115200/2010^9/115200/20109/115200/20= 434

拉低的起始位,拉高的数据为,校验位,停止位都需要434个周期

物理层:接口标准

img

负逻辑电平:

1对应负电压,0对应正电压

3线:TX RX GND

差分传输:

img

RS232

img

DB9接口定义

img

USB接口

img

Data -/+ 差分信号

实验

实验任务

开发板与上位机通过串口通信,完成数据环回实验

img

程序设计

img

串口接收、发送:

img

uart_recv

串行转并行

module uart_recv (
    input               clk,
    input               rst,

    input               uart_rxd,
    output reg [7:0]    uart_data,
    output reg          uart_done
);



// 抓取接收信号下降沿(获取数据接收的标志)
reg uart_rxd_cur, uart_rxd_pre;
wire start_flag;
assign start_flag = ~uart_rxd_cur & uart_rxd_pre;
always @(posedge clk or posedge rst) begin
    if(rst) begin
        uart_rxd_cur <= 1'b0;
        uart_rxd_pre <= 1'b0;
    end
    else begin
        uart_rxd_cur <= uart_rxd;
        uart_rxd_pre <= uart_rxd_cur;
    end
end

// 定义常量
parameter CLK_FREQ = 50000000;
parameter UART_BPS = 115200;
// 不可更改的常量
localparam BPS_CNT = CLK_FREQ / UART_BPS;

reg rx_flag;
reg [3:0] rx_cnt;
reg [8:0] clk_cnt;


always @(posedge clk or posedge rst) begin
    if(rst)
        rx_flag <= 1'b0;
    else begin
        if(start_flag)
            rx_flag <= 1'b1;
        else if(rx_cnt == 4'd9 && (clk_cnt == BPS_CNT/2))
            // 8个bit数据传输完成,且经过半个波特的停止位
            rx_flag <= 1'b0;
        else
            rx_flag <= rx_flag;
    end
end

// clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        clk_cnt <= 1'b0;
    else if(rx_flag) begin
        if(clk_cnt < BPS_CNT - 1)
            clk_cnt <= clk_cnt + 1'b1;
        else
            clk_cnt <= 9'd0;
    end
    else
        clk_cnt <= 9'b0;
end

// rx_cnt 根据 clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        rx_cnt <= 4'd0;
    else if(rx_flag) begin
        if(clk_cnt == BPS_CNT - 1)
            rx_cnt <= rx_cnt + 1'b1;
        else
            rx_cnt <= rx_cnt;
    end
    else
        rx_cnt <= 4'b0;

end

// 在中间值的时候赋值
reg [7:0] rx_data; // 临时寄存器(寄存数据)
always @(posedge clk or posedge rst) begin
    if(rst)
        rx_data <= 8'd0;
    else if(rx_flag) begin
        if(clk_cnt == BPS_CNT / 2) begin
            rx_data[rx_cnt - 4'b1] <= uart_rxd_pre;
        end
        else
            rx_data <= rx_data;
    end
    else
        rx_data <= 8'd0;
end

// 设置输出数据uart_data和输出完成信号uart_done
always @(posedge clk or posedge rst) begin
    if(rst) begin
        uart_data <= 8'd0;
        uart_done <= 1'b0;
    end
    else if(rx_cnt == 4'd9) begin
        uart_data <= rx_data;
        uart_done <= 1'b1;
    end
    else begin
        uart_data <= 8'd0;
        uart_done <= 1'b0;
    end
end

endmodule

uart_send

并行转串行

module uart_send (
    input   clk,
    input   rst,

    input   uart_en,
    input   [7:0] uart_din,
    output  reg uart_txd,
    output  uart_rx_busy
);

// 抓取uart_en上升沿
reg uart_en_pre, uart_en_cur;
wire en_flag;
assign en_flag = ~uart_en_pre & uart_en_cur;

always @(posedge clk or posedge rst) begin
    if(rst) begin
        uart_en_pre <= 1'b0;
        uart_en_cur <= 1'b0;
    end
    else begin
        uart_en_cur <= uart_en;
        uart_en_pre <= uart_en_cur; 
    end
end

reg [7:0] tx_data;
reg tx_flag;
reg [3:0] tx_cnt;
reg [8:0] clk_cnt;
// 定义常量
parameter CLK_FREQ = 50000000;
parameter UART_BPS = 115200;
// 不可更改的常量
localparam BPS_CNT = CLK_FREQ / UART_BPS;
// 写信号忙
assign uart_rx_busy = tx_flag;

// clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        clk_cnt <= 1'b0;
    else if(tx_flag) begin
        if(clk_cnt < BPS_CNT - 1)
            clk_cnt <= clk_cnt + 1'b1;
        else
            clk_cnt <= 9'd0;
    end
    else
        clk_cnt <= 9'b0;
end

// tx_cnt 根据 clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        tx_cnt <= 4'd0;
    else if(tx_flag) begin
        if(clk_cnt == BPS_CNT - 1)
            tx_cnt <= tx_cnt + 1'b1;
        else
            tx_cnt <= tx_cnt;
    end
    else
        tx_cnt <= 4'b0;

end

always @(posedge clk or posedge rst) begin
    if(rst) begin
        tx_flag <= 1'b0;
        tx_data <= 8'd0;
    end
    else begin
       if(en_flag) begin    // 写使能
            tx_flag <= 1'b1;    // 写标志
            tx_data <= uart_din; // 暂存数据
       end
       else if(tx_cnt == 4'd9 && clk_cnt == (BPS_CNT-BPS_CNT/16)) begin
            // 传输结束
            tx_flag <= 1'b0;
            tx_data <= 8'd0;
       end
       else begin
            tx_flag <= tx_flag;
            tx_data <= tx_data;
       end
    end
end

// uart_txd 传输数据
always @(posedge clk or posedge rst) begin
    if(rst)
        uart_txd <= 1'b1;
    else if(tx_flag) begin
        if(tx_cnt == 4'd0) uart_txd <= 1'b0; // start bit拉低
        else if(tx_cnt == 4'd9) uart_txd <= 1'b1; // stop bit拉低
        else uart_txd <= tx_data[tx_cnt - 4'b1]; // 传输数据(cnt比bit位计数多1)
    end
    else uart_txd <= 1'b1;
end




endmodule

uart_loopback_top

三个模块对应信号连接

module uart_loopback_top(
    input  sys_clk,
    input  sys_rst,

    input  uart_rxd,
    output uart_txd
);

wire uart_en;
wire [7:0] uart_din;
wire [7:0] uart_data;
wire uart_done;
wire uart_rx_busy;

uart_recv uart_recv_u(
    .clk        (sys_clk),
    .rst        (sys_rst),
    .uart_rxd   (uart_rxd),
    .uart_data  (uart_data),
    .uart_done  (uart_done) 

);

uart_send uart_send_u(
    .clk            (sys_clk),
    .rst            (sys_rst),
    .uart_en        (uart_en),
    .uart_din       (uart_din),
    .uart_txd       (uart_txd),
    .uart_rx_busy   (uart_rx_busy)
);

uart_loop uart_loop_u(
    .clk         (sys_clk),
    .rst         (sys_rst),
    .recv_done   (uart_done),
    .recv_data   (uart_data),
    .tx_busy     (uart_rx_busy),
    .send_en     (uart_en),
    .send_data   (uart_din)
);

endmodule

约束

create_clock -period 20.000 -name clk [get_ports {
    sys_clk}]

#Clock signal
set_property -dict {
     PACKAGE_PIN L16   IOSTANDARD LVCMOS33 } [get_ports {
     sys_clk }];

#Buttons
set_property -dict {
     PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports {
     rst }];

set_property -dict {
     PACKAGE_PIN B12   IOSTANDARD LVCMOS18 } [get_ports {
     uart_rxd }];
set_property -dict {
     PACKAGE_PIN C12   IOSTANDARD LVCMOS18 } [get_ports {
     uart_txd }];

这里的约束找不到对应的 zybo 开发板的,并没有跑起来

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_45364953/article/details/129408573

智能推荐

攻防世界_难度8_happy_puzzle_攻防世界困难模式攻略图文-程序员宅基地

文章浏览阅读645次。这个肯定是末尾的IDAT了,因为IDAT必须要满了才会开始一下个IDAT,这个明显就是末尾的IDAT了。,对应下面的create_head()代码。,对应下面的create_tail()代码。不要考虑爆破,我已经试了一下,太多情况了。题目来源:UNCTF。_攻防世界困难模式攻略图文

达梦数据库的导出(备份)、导入_达梦数据库导入导出-程序员宅基地

文章浏览阅读2.9k次,点赞3次,收藏10次。偶尔会用到,记录、分享。1. 数据库导出1.1 切换到dmdba用户su - dmdba1.2 进入达梦数据库安装路径的bin目录,执行导库操作  导出语句:./dexp cwy_init/[email protected]:5236 file=cwy_init.dmp log=cwy_init_exp.log 注释:   cwy_init/init_123..._达梦数据库导入导出

js引入kindeditor富文本编辑器的使用_kindeditor.js-程序员宅基地

文章浏览阅读1.9k次。1. 在官网上下载KindEditor文件,可以删掉不需要要到的jsp,asp,asp.net和php文件夹。接着把文件夹放到项目文件目录下。2. 修改html文件,在页面引入js文件:<script type="text/javascript" src="./kindeditor/kindeditor-all.js"></script><script type="text/javascript" src="./kindeditor/lang/zh-CN.js"_kindeditor.js

STM32学习过程记录11——基于STM32G431CBU6硬件SPI+DMA的高效WS2812B控制方法-程序员宅基地

文章浏览阅读2.3k次,点赞6次,收藏14次。SPI的详情简介不必赘述。假设我们通过SPI发送0xAA,我们的数据线就会变为10101010,通过修改不同的内容,即可修改SPI中0和1的持续时间。比如0xF0即为前半周期为高电平,后半周期为低电平的状态。在SPI的通信模式中,CPHA配置会影响该实验,下图展示了不同采样位置的SPI时序图[1]。CPOL = 0,CPHA = 1:CLK空闲状态 = 低电平,数据在下降沿采样,并在上升沿移出CPOL = 0,CPHA = 0:CLK空闲状态 = 低电平,数据在上升沿采样,并在下降沿移出。_stm32g431cbu6

计算机网络-数据链路层_接收方收到链路层数据后,使用crc检验后,余数为0,说明链路层的传输时可靠传输-程序员宅基地

文章浏览阅读1.2k次,点赞2次,收藏8次。数据链路层习题自测问题1.数据链路(即逻辑链路)与链路(即物理链路)有何区别?“电路接通了”与”数据链路接通了”的区别何在?2.数据链路层中的链路控制包括哪些功能?试讨论数据链路层做成可靠的链路层有哪些优点和缺点。3.网络适配器的作用是什么?网络适配器工作在哪一层?4.数据链路层的三个基本问题(帧定界、透明传输和差错检测)为什么都必须加以解决?5.如果在数据链路层不进行帧定界,会发生什么问题?6.PPP协议的主要特点是什么?为什么PPP不使用帧的编号?PPP适用于什么情况?为什么PPP协议不_接收方收到链路层数据后,使用crc检验后,余数为0,说明链路层的传输时可靠传输

软件测试工程师移民加拿大_无证移民,未受过软件工程师的教育(第1部分)-程序员宅基地

文章浏览阅读587次。软件测试工程师移民加拿大 无证移民,未受过软件工程师的教育(第1部分) (Undocumented Immigrant With No Education to Software Engineer(Part 1))Before I start, I want you to please bear with me on the way I write, I have very little gen...

随便推点

Thinkpad X250 secure boot failed 启动失败问题解决_安装完系统提示secureboot failure-程序员宅基地

文章浏览阅读304次。Thinkpad X250笔记本电脑,装的是FreeBSD,进入BIOS修改虚拟化配置(其后可能是误设置了安全开机),保存退出后系统无法启动,显示:secure boot failed ,把自己惊出一身冷汗,因为这台笔记本刚好还没开始做备份.....根据错误提示,到bios里面去找相关配置,在Security里面找到了Secure Boot选项,发现果然被设置为Enabled,将其修改为Disabled ,再开机,终于正常启动了。_安装完系统提示secureboot failure

C++如何做字符串分割(5种方法)_c++ 字符串分割-程序员宅基地

文章浏览阅读10w+次,点赞93次,收藏352次。1、用strtok函数进行字符串分割原型: char *strtok(char *str, const char *delim);功能:分解字符串为一组字符串。参数说明:str为要分解的字符串,delim为分隔符字符串。返回值:从str开头开始的一个个被分割的串。当没有被分割的串时则返回NULL。其它:strtok函数线程不安全,可以使用strtok_r替代。示例://借助strtok实现split#include <string.h>#include <stdio.h&_c++ 字符串分割

2013第四届蓝桥杯 C/C++本科A组 真题答案解析_2013年第四届c a组蓝桥杯省赛真题解答-程序员宅基地

文章浏览阅读2.3k次。1 .高斯日记 大数学家高斯有个好习惯:无论如何都要记日记。他的日记有个与众不同的地方,他从不注明年月日,而是用一个整数代替,比如:4210后来人们知道,那个整数就是日期,它表示那一天是高斯出生后的第几天。这或许也是个好习惯,它时时刻刻提醒着主人:日子又过去一天,还有多少时光可以用于浪费呢?高斯出生于:1777年4月30日。在高斯发现的一个重要定理的日记_2013年第四届c a组蓝桥杯省赛真题解答

基于供需算法优化的核极限学习机(KELM)分类算法-程序员宅基地

文章浏览阅读851次,点赞17次,收藏22次。摘要:本文利用供需算法对核极限学习机(KELM)进行优化,并用于分类。

metasploitable2渗透测试_metasploitable2怎么进入-程序员宅基地

文章浏览阅读1.1k次。一、系统弱密码登录1、在kali上执行命令行telnet 192.168.26.1292、Login和password都输入msfadmin3、登录成功,进入系统4、测试如下:二、MySQL弱密码登录:1、在kali上执行mysql –h 192.168.26.129 –u root2、登录成功,进入MySQL系统3、测试效果:三、PostgreSQL弱密码登录1、在Kali上执行psql -h 192.168.26.129 –U post..._metasploitable2怎么进入

Python学习之路:从入门到精通的指南_python人工智能开发从入门到精通pdf-程序员宅基地

文章浏览阅读257次。本文将为初学者提供Python学习的详细指南,从Python的历史、基础语法和数据类型到面向对象编程、模块和库的使用。通过本文,您将能够掌握Python编程的核心概念,为今后的编程学习和实践打下坚实基础。_python人工智能开发从入门到精通pdf

推荐文章

热门文章

相关标签