FPGA之FIFO IP核详细教程_fifo预读-程序员宅基地

技术标签: fifo  fpga  FPGA  verilog  

                                                        FPGA之fifo的相关知识(附完整设计代码,仿真代码)

本文回答以下几个问题:

1:fifo是什么,有什么作用;

2:在使用fifo的两种模式;

3:使用异步fifo IP核的详细步骤说明;

4:RTL设计和仿真;

5:功能仿真;

 

下面一 一解释上面的几个问题

     1: Fifo是什么 :fifo是英文first in first out 的缩写,即先进先出(队列),fifo在FPGA内部是RAM加上已编写好的读写控制模块(RTL级)然后“封装”在一起的一个module

fifo使用场景

1.1 信号的跨时钟域处理

      fifo很多时候用在数据或者是信号跨时钟域的处理上,如多位宽信号S在A的时钟域是10MHz,现在要把信号S传到B时钟域20MHz,这种不同时钟域之间的信号切换常常会建立时间保持时间不满足进而导致亚稳态的产生。而亚稳态在时序电路设计中是灾难性的。采用fifo几乎可以避免亚稳态的产生。

1.2 解决输入输出数据位宽不一致的问题

       例如输入信号是16位宽,而输出每次只需要8位宽,不考虑跨时钟域的情况下,可以在时序触发下先截取低8位,再截取高8位,这样做在数据较少还是比较实用,但是当数据量很多时,会占用较多的存储器资源,而且处理起来远不如fifo方便。

 

2: 在使用fifo IP核时在配置页面有同步fifo和异步fifo。同步fifo是读写时钟相同,异步fifo读写时钟不同。

 

3.这里以Quartus为例

①建立一个工程

                             

 

      接下来就是填写工程名和工程存储路径

②在Tools下找到MegaWizard Plug-In Manager点击进入

                          

 

      选择第一个Create a new custom megafunction variation点击next

 

      上图中在Memory Complier中找到FIFO IP核,如图右上默认的芯片是Cyclone IV E,和开头建项目时是同步的。在这下面默认的是硬件描述语言是Verilog HDL 本次用到的是Verilog。再下面是IP核的存放位置。为了便于项目移植和文件的整洁性,建议在quaetus_prj文件夹下建议一个ipcoer_dir的文件夹当做IP核的生成路径

③接下来到了IP核的配置页面

      第一个选项让用户选择是否输入输出是不同位宽,按照自己的项目需求选择,这里不勾上,代表输入输出位宽一致,选择16位数据位宽,右上角第一个16bit是输入数据位宽,第二个灰色的16是输出位宽,刚刚选择的是输入输出位宽相等,所以对于输出位宽无法更改。下面512是数据深度,根据需求选择。

 

      下面的同步异步的选择,这里选择异步fifo。当我们选择好后可以看到左边fifo框图已经显示出了fifo的一些I/O口。左下角是用的芯片内部资源,可以看到用了22个LUT,一个M9K和128个寄存器。点击next进入下一个配置页面

 

      这里选择对少个latency,latency字面意思是潜伏,这里是rd_req读请求有效后等到多少个时钟周期后数据才输出,越多越能减少亚文态发生的概率,但是多了以后相应的资源会变多,下面依次是2个latency和3个latency。可以看到3个latency所用的寄存器和逻辑门都要多于2个latency的。

 

 

 

      下图左侧是读时钟域下的写满,读空和已使用的总字数,右侧对应于写时钟域下的信号。如图,所谓写满是指写指针追上了读指针,这时读的太慢,则会出现读指针追上写指针指针对应的地址数据还没读完就被重新写入了,造成数据的丢失。而读空是指,读指针追上了写指针,这时会造成读指针追上和超过写指针地址所对应读出的数据是无效的。下面两个是增加usedw的端口和复位暂时不用管,点击next

 

 

 

 

       下图,这里有normal模式和show-ahead模式。Normal模式是给定地址后在下一个时钟周期把数据读取出来。Show-ahead模式相当于预读取,地址给定数据就读出来。可以看到show-ahead模式下面的Note,这种模式可能会带来时序上的违例。这里选择normal模式。点击next

 

 

      这里两个是写溢出和读空保护,点击是取消保护,这里不去勾选。点击next

 

      先不去生成网标信息,继续点击next

 

 

      这里为了方便把 Instantiation template file选上,生成例化文件在./quartus_prj/ipcore_dir 目录先找到“_inst.v”结尾的文件,将其复制到工程中去。

 

 

 

4.1 RTL设计

module async_fifo(
	input		wire			wr_clk,
	input		wire			wrdata_v,
	input		wire			rd_clk,
	input		wire			rd_req,
	input		wire	[15:0]	data_in,
	output		wire	[15:0]	data_o
		
);

parameter       DW_FLAG = 512 - 2;
wire		rdfull,rdempty,wrempty,wrfull;
wire		wr_req;
wire	[8:0]	rdusedw;
wire	[8:0]	wrusedw;
wire		wrusedw_flag;

assign		wrusedw_flag = (wrusedw > DW_FLAG) ? 1'b1:1'b0;
assign		wr_req = (~wrusedw_flag) & wrdata_v;


async_fifo_16x512	async_fifo_16x512_inst (
	.data ( data_in ),//写入的数据
	.rdclk ( rd_clk ),//读时钟
	.rdreq ( rd_req ),//读请求
	.wrclk ( wr_clk ),//写时钟
	.wrreq ( wr_req ),//写请求
	.q ( data_o ),//读数据输出
	.rdempty ( rdempty ),//读空标志
	.rdfull ( rdfull ),//读时钟域的写满信号
	.rdusedw ( rdusedw ),//读剩余的地址数量
	.wrempty ( wrempty ),//写满标志
	.wrfull ( wrfull ),//写时钟域的读空标志
	.wrusedw ( wrusedw )//已经写得可用地址数
	);

endmodule

 

4.2仿真文件

`timescale 1ns/1ns

module	tb_async_fifo();

reg			rd_clk;
reg			wr_clk;
reg	[15:0]	wr_data;
reg			wr_v;
reg			rd_req;

initial		begin
	
	wr_clk = 0;
	rd_clk = 0;  
	wr_clk = 0;  
	wr_data = 0;
	wr_v  = 0;  
        rd_req  = 0;
    
   #50
   write_data();   
  end  
  
initial	begin
	#50
	read_data();
end  

  always #10 wr_clk = ~wr_clk;
  always #5 rd_clk = ~rd_clk;
   async_fifo	async_fifo_inst(
	.wr_clk		(wr_clk),
	.wrdata_v	(wr_v),
	.rd_clk		(rd_clk),
	.rd_req		(rd_req),
	.data_in	(wr_data),
	.data_o     ()
		
);
  task write_data();
  		integer i;
  			begin
  				for(i=0;i<512;i=i+1)
  					begin
  						@(posedge wr_clk)
  						wr_v = 1;
  						wr_data = i;  						
  					end
  					@(posedge wr_clk)
  						wr_v = 0;
  						wr_data = 0;  				  				
  			end
 endtask
 task	read_data();
 		integer	j;
 		begin
 			for(j=0;j<1100;j=j+1)
 			@(posedge rd_clk)
 			begin 				
 				if(j>520)
 				rd_req = 1;
 				else
 				rd_req = 0;
 				
 				
 			end
 		end 		 
endtask
 
endmodule

 

 5.1仿真分析

       这里用Modelsim进行仿真,可以直接从quartus中直接调用modelsim软件并自动生成仿真文件,但是每次都要修改仿真文件,在sim目录下建一个仿真文件自己编写了,内容在4.2。

      如图在wr_req有效时数据就立即写入fifo里了,第一个数据是8’d0,在两个wr_clk后wrusedw数量是1个

 

然后再看rd_req有效时,在读请求有效后过了两个时钟周期rdusedw才变化,这里对应之前配置页面选择的两个latency。

 

 

3个latency可以看到在rd_req有效三个时钟周期后rdusedw才变化

 

 

      下图所示数据写完并读完时的信号变化,在4.2仿真文件中让读时钟是写时钟的2倍,然后在读时钟的第520(对应于写时钟的第260个周期)个时钟周期让读请求有效,所以保证读指针在第511数据及其以前不追上写指针。读时钟域下读空信号rdempty先于rdusedw等于0两个时钟周期给出有效信号。告诉你,不要再读了,已经读完了,要不再等等写指针再动一动,咱再读(滑稽)

 

 

 

 

 

       如下图可以看到在写时钟域下当第512个数据511写入ram中时wrfull并未拉高,因为已经读的数据那些地址等写指针写完一个数据周期(512个数据)回到0地址还可以继续写,(这里指针和地址没有区分,一样的概念),因为读指针已经把要的数据拿走了不需要了。两个时钟域下usedw很有用,由它可以产生一些标志信号能给出一些预留时间防止出现写满或者读空的情况。

如果你认真读到这里,不妨打开工具自己动手做一下。文中如果有错误或者瑕疵欢迎指正,虚心接受。

 

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_41754003/article/details/107008738

智能推荐

什么是内部类?成员内部类、静态内部类、局部内部类和匿名内部类的区别及作用?_成员内部类和局部内部类的区别-程序员宅基地

文章浏览阅读3.4k次,点赞8次,收藏42次。一、什么是内部类?or 内部类的概念内部类是定义在另一个类中的类;下面类TestB是类TestA的内部类。即内部类对象引用了实例化该内部对象的外围类对象。public class TestA{ class TestB {}}二、 为什么需要内部类?or 内部类有什么作用?1、 内部类方法可以访问该类定义所在的作用域中的数据,包括私有数据。2、内部类可以对同一个包中的其他类隐藏起来。3、 当想要定义一个回调函数且不想编写大量代码时,使用匿名内部类比较便捷。三、 内部类的分类成员内部_成员内部类和局部内部类的区别

分布式系统_分布式系统运维工具-程序员宅基地

文章浏览阅读118次。分布式系统要求拆分分布式思想的实质搭配要求分布式系统要求按照某些特定的规则将项目进行拆分。如果将一个项目的所有模板功能都写到一起,当某个模块出现问题时将直接导致整个服务器出现问题。拆分按照业务拆分为不同的服务器,有效的降低系统架构的耦合性在业务拆分的基础上可按照代码层级进行拆分(view、controller、service、pojo)分布式思想的实质分布式思想的实质是为了系统的..._分布式系统运维工具

用Exce分析l数据极简入门_exce l趋势分析数据量-程序员宅基地

文章浏览阅读174次。1.数据源准备2.数据处理step1:数据表处理应用函数:①VLOOKUP函数; ② CONCATENATE函数终表:step2:数据透视表统计分析(1) 透视表汇总不同渠道用户数, 金额(2)透视表汇总不同日期购买用户数,金额(3)透视表汇总不同用户购买订单数,金额step3:讲第二步结果可视化, 比如, 柱形图(1)不同渠道用户数, 金额(2)不同日期..._exce l趋势分析数据量

宁盾堡垒机双因素认证方案_horizon宁盾双因素配置-程序员宅基地

文章浏览阅读3.3k次。堡垒机可以为企业实现服务器、网络设备、数据库、安全设备等的集中管控和安全可靠运行,帮助IT运维人员提高工作效率。通俗来说,就是用来控制哪些人可以登录哪些资产(事先防范和事中控制),以及录像记录登录资产后做了什么事情(事后溯源)。由于堡垒机内部保存着企业所有的设备资产和权限关系,是企业内部信息安全的重要一环。但目前出现的以下问题产生了很大安全隐患:密码设置过于简单,容易被暴力破解;为方便记忆,设置统一的密码,一旦单点被破,极易引发全面危机。在单一的静态密码验证机制下,登录密码是堡垒机安全的唯一_horizon宁盾双因素配置

谷歌浏览器安装(Win、Linux、离线安装)_chrome linux debian离线安装依赖-程序员宅基地

文章浏览阅读7.7k次,点赞4次,收藏16次。Chrome作为一款挺不错的浏览器,其有着诸多的优良特性,并且支持跨平台。其支持(Windows、Linux、Mac OS X、BSD、Android),在绝大多数情况下,其的安装都很简单,但有时会由于网络原因,无法安装,所以在这里总结下Chrome的安装。Windows下的安装:在线安装:离线安装:Linux下的安装:在线安装:离线安装:..._chrome linux debian离线安装依赖

烤仔TVの尚书房 | 逃离北上广?不如押宝越南“北上广”-程序员宅基地

文章浏览阅读153次。中国发达城市榜单每天都在刷新,但无非是北上广轮流坐庄。北京拥有最顶尖的文化资源,上海是“摩登”的国际化大都市,广州是活力四射的千年商都。GDP和发展潜力是衡量城市的数字指...

随便推点

java spark的使用和配置_使用java调用spark注册进去的程序-程序员宅基地

文章浏览阅读3.3k次。前言spark在java使用比较少,多是scala的用法,我这里介绍一下我在项目中使用的代码配置详细算法的使用请点击我主页列表查看版本jar版本说明spark3.0.1scala2.12这个版本注意和spark版本对应,只是为了引jar包springboot版本2.3.2.RELEASEmaven<!-- spark --> <dependency> <gro_使用java调用spark注册进去的程序

汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用_uds协议栈 源代码-程序员宅基地

文章浏览阅读4.8k次。汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用,代码精简高效,大厂出品有量产保证。:139800617636213023darcy169_uds协议栈 源代码

AUTOSAR基础篇之OS(下)_autosar 定义了 5 种多核支持类型-程序员宅基地

文章浏览阅读4.6k次,点赞20次,收藏148次。AUTOSAR基础篇之OS(下)前言首先,请问大家几个小小的问题,你清楚:你知道多核OS在什么场景下使用吗?多核系统OS又是如何协同启动或者关闭的呢?AUTOSAR OS存在哪些功能安全等方面的要求呢?多核OS之间的启动关闭与单核相比又存在哪些异同呢?。。。。。。今天,我们来一起探索并回答这些问题。为了便于大家理解,以下是本文的主题大纲:[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-JCXrdI0k-1636287756923)(https://gite_autosar 定义了 5 种多核支持类型

VS报错无法打开自己写的头文件_vs2013打不开自己定义的头文件-程序员宅基地

文章浏览阅读2.2k次,点赞6次,收藏14次。原因:自己写的头文件没有被加入到方案的包含目录中去,无法被检索到,也就无法打开。将自己写的头文件都放入header files。然后在VS界面上,右键方案名,点击属性。将自己头文件夹的目录添加进去。_vs2013打不开自己定义的头文件

【Redis】Redis基础命令集详解_redis命令-程序员宅基地

文章浏览阅读3.3w次,点赞80次,收藏342次。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。当数据量很大时,count 的数量的指定可能会不起作用,Redis 会自动调整每次的遍历数目。_redis命令

URP渲染管线简介-程序员宅基地

文章浏览阅读449次,点赞3次,收藏3次。URP的设计目标是在保持高性能的同时,提供更多的渲染功能和自定义选项。与普通项目相比,会多出Presets文件夹,里面包含着一些设置,包括本色,声音,法线,贴图等设置。全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,主光源和附加光源在一次Pass中可以一起着色。URP:全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,一次Pass可以计算多个光源。可编程渲染管线:渲染策略是可以供程序员定制的,可以定制的有:光照计算和光源,深度测试,摄像机光照烘焙,后期处理策略等等。_urp渲染管线