FPGA设计中,对SPI进行参数化结构设计_fpga spi spi_send-程序员宅基地

技术标签: fpga  FPGA学习系列  

今天给大侠带来FPGA设计中,对SPI进行参数化结构设计,话不多说,上货。

 

为了避免每次SPI驱动重写,直接参数化,尽量一劳永逸。SPI master有啥用呢,你发现各种外围芯片的配置一般都是通过SPI配置的,只不过有三线和四线。SPI slave有什么用呢,当外部主机(cpu)要读取FPGA内部寄存器值,那就很有用了,FPGA寄存器就相当于RAM,cpu通过SPI寻址读写数据。代码仅供参考,勿做商业用途。

 

SPI salve

SPI salve支持功能:

  • 支持三线SPI或者四线SPI。通过define切换。                                 

  • 支持指令长度、帧长自定义。                               

  • 工作时钟可自定义,大于SPI clk的2倍。

 

用户只需修改:(1)几线SPI。(2)单帧长度。(3)指令长度。(4)寄存器开辟。

注意:指令最高bit表示读写,低写高读,其余bit表示地址。指令接着为数据端,两者位宽之和即为SPI单帧长。

//`define SPI_LINE  //是否是三线SPI`define SPI_FRAME_WIDTH 16 //SPI一帧长度为16`define SPI_INS_WIDTH 8    //SPI指令长`timescale 1ns/1psmodule spi_slave (    input     i_clk               , //work clk    input     i_rst_n             ,        input     i_spi_clk           , //SPI clk    input     i_spi_cs            , //SPI cs    `ifdef SPI_LINE                 //条件编译    inout     io_spi_sdio              `else    input     i_spi_mosi          , //SPI mosi    output    o_spi_miso            //SPI miso    `endif          );//位宽计算函数function integer clogb2 (input integer depth);begin    for (clogb2=0; depth>0; clogb2=clogb2+1)         depth = depth >>1;                          endendfunctionreg r_cs = 1'b1; //打一拍always @(posedge i_clk)begin    r_cs <= i_spi_cs;endreg [1:0] r_spi_clk_edge = 2'b00; //SPI clk边沿检测always @(posedge i_clk)begin    r_spi_clk_edge <= {r_spi_clk_edge[0],i_spi_clk};end //alwaysreg [clogb2(`SPI_FRAME_WIDTH-1)-1:0] r_spi_cnt = 'd0;always @(posedge i_clk)begin    if (r_cs) //cs为高则归零        r_spi_cnt <= 'd0;    else if (r_spi_clk_edge == 2'b10) //下降沿才计数        r_spi_cnt <= r_spi_cnt + 'd1;end指令锁存reg [`SPI_INS_WIDTH-1:0] r_ins = 'd0;always @(posedge i_clk)begin    if ((~r_cs) && (r_spi_clk_edge == 2'b01)) //上升沿锁存数据    begin        if ((r_spi_cnt >= 0) && (r_spi_cnt <= `SPI_INS_WIDTH-1))        `ifdef SPI_LINE                 //条件编译            r_ins <= {r_ins[`SPI_INS_WIDTH-2:0],io_spi_sdio};          `else            r_ins <= {r_ins[`SPI_INS_WIDTH-2:0],i_spi_mosi};          `endif     end end数值写入reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_data_rx = 'd0;always @(posedge i_clk)begin    if ((~r_cs) && (r_spi_clk_edge == 2'b01)) //上升沿锁存数据    begin        if (r_spi_cnt >= `SPI_INS_WIDTH)        `ifdef SPI_LINE            r_data_rx <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio};        `else            r_data_rx <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi};           `endif    endend用户寄存器定义reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg0 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg1 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg2 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg3 = 'd0;always @(posedge i_clk,negedge i_rst_n)begin    if (~i_rst_n)    begin        r_reg0 <= 'd0;        r_reg1 <= 'd0;        r_reg2 <= 'd0;        r_reg3 <= 'd0;    end    else if ((~r_ins[`SPI_INS_WIDTH-1]) && (r_spi_cnt == (`SPI_FRAME_WIDTH-1)) && (~r_cs) && (r_spi_clk_edge == 2'b01))    begin    `ifdef SPI_LINE        case (r_ins[`SPI_INS_WIDTH-2:0])            'd0:begin r_reg0 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd1:begin r_reg1 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd2:begin r_reg2 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd3:begin r_reg3 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end        endcase     `else        case (r_ins[`SPI_INS_WIDTH-2:0])            'd0:begin r_reg0 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd1:begin r_reg1 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd2:begin r_reg2 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd3:begin r_reg3 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end        endcase     `endif     endend寄存器值读出reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_data_tx = 'd0;always @(posedge i_clk)begin    if (r_ins[`SPI_INS_WIDTH-1] && (~r_cs) && (r_spi_clk_edge == 2'b10))    begin         if (r_spi_cnt == (`SPI_INS_WIDTH-1))        begin            case (r_ins[`SPI_INS_WIDTH-2:0])                'd0:begin r_data_tx <= r_reg0; end                 'd1:begin r_data_tx <= r_reg1; end                 'd2:begin r_data_tx <= r_reg2; end                 'd3:begin r_data_tx <= r_reg3; end                 endcase         end        else             r_data_tx <= {r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],1'b0};    end end读取输出`ifdef SPI_LINEassign io_spi_sdio = (r_ins[`SPI_INS_WIDTH-1]) ? (((r_spi_cnt>=`SPI_INS_WIDTH) && (r_spi_cnt<`SPI_FRAME_WIDTH)) ? r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1] : 1'bz) : 1'bz;`else assign o_spi_miso = ((r_spi_cnt>=`SPI_INS_WIDTH) && (r_spi_cnt<`SPI_FRAME_WIDTH)) ? r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1] : 1'b0;`endifendmodule // end the spi_slave model

 

SPI master

spi master内部仅仅封装SPI驱动,写入值读出控制由上层控制,这部分逻辑很simple,不赘述。用户只需给入SPI帧及控制使能即可。

用户只需修改parameter参数:(1)单帧长;(2)指令长;(3)数据长;(4)工作时钟;(5)SPI clk。

实现不使用状态机,采用线性序列计数法。

//`define SPI_LINE  //是否是三线SPI`timescale 1ns/1psmodule spi_master #(parameter p_spi_frame_width = 16, //SPI单帧长度  parameter p_spi_ins_width = 8   , //指令长度  parameter p_spi_data_width = 8    //读出数据长度 )(    input                                i_clk             , //系统时钟    input                                i_rst_n           ,    input                                i_flag            , //检测到flag的上升沿则启动一次传输,一个时钟周期即可       input     [p_spi_frame_width-1:0]    i_spi_data        ,    output                               o_spi_cs          ,    output                               o_spi_clk         ,    `ifdef SPI_LINE                 //条件编译    inout                                io_spi_sdio       ,              `else    input                                i_spi_miso        , //SPI miso    output                               o_spi_mosi        , //SPI mosi    `endif         output                               o_transfer_done   , //单次传输完成    output    [p_spi_data_width-1:0]     o_spi_data          //读取数据           );parameter p_clk_fre = 200; //XXM时钟频率parameter p_spi_clk_fre = 0.5*1000; //SPI 时钟速率,表示1Mparameter p_clk_div = p_clk_fre * 1000/p_spi_clk_fre/2-1;parameter p_spi_cnt_max = p_spi_frame_width*2-1;parameter p_spi_ins_max = p_spi_ins_width*2-1;//位宽计算函数function integer clogb2 (input integer depth);begin    for (clogb2=0; depth>0; clogb2=clogb2+1)         depth = depth >>1;                          endendfunction//把最大值赋值给线型,直接用p_clk_div仿真有问题,但实际上板是可以的wire [clogb2(p_clk_div)-1:0] w_clk_div;assign w_clk_div = p_clk_div;时钟分频reg [clogb2(p_clk_div)-1:0] r_cnt_div = 'd0;always @(posedge i_clk)begin    if (r_cnt_div == w_clk_div)        r_cnt_div <= 'd0;    else         r_cnt_div <= r_cnt_div + 'd1;end //alwayswire w_clk_en; //分频时钟使能assign w_clk_en = (r_cnt_div == w_clk_div) ? 1'b1 : 1'b0;reg [1:0] r_flag_edge = 2'b00;reg [clogb2(p_spi_cnt_max)-1:0] r_spi_cnt = 'd0;always @(posedge i_clk) //flag边沿检测begin    r_flag_edge <= {r_flag_edge[0],i_flag};end//flag信号展宽到低速时钟域reg r_flag_enlarge = 1'b0;always @(posedge i_clk)begin    if (r_flag_edge == 2'b01) //上升沿拉高        r_flag_enlarge <= 1'b1;    else if (r_spi_cnt == p_spi_ins_max) //足够长的高电平才拉低        r_flag_enlarge <= 1'b0;endreg [1:0] r_flag_enlarge_edge = 2'b00;always @(posedge i_clk)begin    if (w_clk_en)        r_flag_enlarge_edge <= {r_flag_enlarge_edge[0],r_flag_enlarge};endreg r_cs = 1'b1;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (r_flag_enlarge_edge == 2'b01) //检测到需要进行SPI操作            r_cs <= 1'b0;        else if (r_spi_cnt == p_spi_cnt_max) //计数到最大值表示一次SPI完成            r_cs <= 1'b1;    endendalways @(posedge i_clk)begin    if (w_clk_en)    begin        if(~r_cs) //在操作区间计数            r_spi_cnt <= r_spi_cnt + 'd1;        else             r_spi_cnt <= 'd0;    end    end数据传输段reg [p_spi_frame_width-1:0] r_data = 'd0;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (r_flag_enlarge_edge == 2'b01) //上升沿刷入            r_data <= i_spi_data;        else if (r_spi_cnt[0] == 1'b1) //数据移动            r_data <= {r_data[p_spi_frame_width-2:0],1'b1};    endend数据读取段reg [p_spi_data_width-1:0] r_data_read = 'd0;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (i_spi_data[p_spi_frame_width-1] && (r_spi_cnt > p_spi_ins_max) && (r_spi_cnt[0] == 1'b0)) //是读        `ifdef SPI_LINE            r_data_read <= {r_data_read[p_spi_data_width-2:0],io_spi_sdio};        `else            r_data_read <= {r_data_read[p_spi_data_width-2:0],i_spi_miso};        `endif     end    endSPI输出段assign o_spi_cs = r_cs;assign o_spi_clk = r_cs ? 1'b0 : r_spi_cnt[0];SPI SDIO的输入输出切换`ifdef SPI_LINEassign io_spi_sdio = (i_spi_data[p_spi_frame_width-1]) ? (((r_spi_cnt >= 'd0) && (r_spi_cnt <= p_spi_ins_max)) ? r_data[p_spi_frame_width-1] : 1'bz ) : r_data[p_spi_frame_width-1];`else assign o_spi_mosi = r_data[p_spi_frame_width-1];`endif assign o_transfer_done = ((~r_cs) && (r_spi_cnt == p_spi_cnt_max)) ? 1'b1:1'b0;assign o_spi_data = r_data_read;endmodule // end the spi_master model

 

仿真如下所示:写入四个寄存器值,再读出。

仿真代码如下:

`define TRANSFER_NUMBER 8 //操作数为4`define DATA 8'ha5//`define SPI_LINEtimeunit 1ns;timeprecision 1ps;module top;parameter p_sim_end_time = 1000000; //nslogic l_clk = 1'b0;always #2.5 l_clk = ~l_clk;复位logic l_rst_n  = 1'b0;initial begin    #100 l_rst_n = 1'b1;endwire io_sdio;wire o_spi_cs;wire o_spi_clk;wire o_transfer_done;wire [7:0] o_spi_data;多个数据操作模式reg r_flag = 1'b0;reg [1:0] r_first_cnt = 2'b00;always @(posedge l_clk,negedge l_rst_n)begin    if (~l_rst_n)        r_first_cnt <= 2'b00;    else if (r_first_cnt == 2'd3)        r_first_cnt <= r_first_cnt;    else         r_first_cnt <= r_first_cnt + 2'd1;endreg [1:0] r_transfer_done_edge = 2'b00;always @(posedge l_clk)begin    r_transfer_done_edge <= {r_transfer_done_edge[0],o_transfer_done};endreg [3:0] r_transfer_cnt = 4'd0;always @(posedge l_clk)begin    if ((r_first_cnt == 2'd2) && (r_transfer_cnt < `TRANSFER_NUMBER))        r_flag <= 1'b1;    else if ((r_transfer_done_edge == 2'b10) && (r_transfer_cnt < `TRANSFER_NUMBER-1))        r_flag <= 1'b1;    else         r_flag <= 1'b0;        endalways @(posedge l_clk)begin    if (r_transfer_done_edge == 2'b10)        r_transfer_cnt <= r_transfer_cnt + 'd1;endreg [15:0] r_in_data  = 16'd0;always @(*)begin    if (~l_rst_n) //仿真不执行此段仿真会有问题        r_in_data  = 16'h0000;    else     begin     case(r_transfer_cnt)        4'd0:begin  r_in_data  = {8'h00,8'h43}; end         4'd1:begin  r_in_data  = 16'h0132; end         4'd2:begin  r_in_data  = 16'h0245; end         4'd3:begin  r_in_data  = 16'h0367; end         4'd4:begin  r_in_data  = 16'h8000; end         4'd5:begin  r_in_data  = 16'h8100; end         4'd6:begin  r_in_data  = 16'h8200; end         4'd7:begin  r_in_data  = 16'h8300; end         default:begin  r_in_data  = 16'h0000; end    endcase    end endwire w_spi_miso;wire w_spi_mosi;spi_master inst_spi_master (    .i_clk             (l_clk),    .i_rst_n           (),    .i_flag            (r_flag),    .i_spi_data        (r_in_data),    .o_spi_cs          (o_spi_cs),    .o_spi_clk         (o_spi_clk),    `ifdef SPI_LINE    .io_spi_sdio       (io_sdio),    `else    .i_spi_miso        (w_spi_miso),    .o_spi_mosi        (w_spi_mosi),    `endif    .o_transfer_done   (o_transfer_done),    .o_spi_data        (o_spi_data)    );spi_slave  inst_spi_slave (    .i_clk                            (l_clk),    .i_rst_n                          (l_rst_n),    .i_spi_clk                        (o_spi_clk),    .i_spi_cs                         (o_spi_cs),    `ifdef SPI_LINE    .io_spi_sdio                      (io_sdio)    `else    .i_spi_mosi                      (w_spi_mosi),    .o_spi_miso                      (w_spi_miso)    `endif);initial begin    #p_sim_end_time $stop;end    endmodule

 

三线SPI:

 

四线SPI:

 

可以看到读写是一致的,验证通过。

 

 

END

 

 

后续会持续更新,带来Vivado、 ISE、Quartus II 、candence等安装相关设计教程,学习资源、项目资源、好文推荐等,希望大侠持续关注。

大侠们,江湖偌大,继续闯荡,愿一切安好,有缘再见!

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_40310273/article/details/106102941

智能推荐

使用nginx解决浏览器跨域问题_nginx不停的xhr-程序员宅基地

文章浏览阅读1k次。通过使用ajax方法跨域请求是浏览器所不允许的,浏览器出于安全考虑是禁止的。警告信息如下:不过jQuery对跨域问题也有解决方案,使用jsonp的方式解决,方法如下:$.ajax({ async:false, url: 'http://www.mysite.com/demo.do', // 跨域URL ty..._nginx不停的xhr

在 Oracle 中配置 extproc 以访问 ST_Geometry-程序员宅基地

文章浏览阅读2k次。关于在 Oracle 中配置 extproc 以访问 ST_Geometry,也就是我们所说的 使用空间SQL 的方法,官方文档链接如下。http://desktop.arcgis.com/zh-cn/arcmap/latest/manage-data/gdbs-in-oracle/configure-oracle-extproc.htm其实简单总结一下,主要就分为以下几个步骤。..._extproc

Linux C++ gbk转为utf-8_linux c++ gbk->utf8-程序员宅基地

文章浏览阅读1.5w次。linux下没有上面的两个函数,需要使用函数 mbstowcs和wcstombsmbstowcs将多字节编码转换为宽字节编码wcstombs将宽字节编码转换为多字节编码这两个函数,转换过程中受到系统编码类型的影响,需要通过设置来设定转换前和转换后的编码类型。通过函数setlocale进行系统编码的设置。linux下输入命名locale -a查看系统支持的编码_linux c++ gbk->utf8

IMP-00009: 导出文件异常结束-程序员宅基地

文章浏览阅读750次。今天准备从生产库向测试库进行数据导入,结果在imp导入的时候遇到“ IMP-00009:导出文件异常结束” 错误,google一下,发现可能有如下原因导致imp的数据太大,没有写buffer和commit两个数据库字符集不同从低版本exp的dmp文件,向高版本imp导出的dmp文件出错传输dmp文件时,文件损坏解决办法:imp时指定..._imp-00009导出文件异常结束

python程序员需要深入掌握的技能_Python用数据说明程序员需要掌握的技能-程序员宅基地

文章浏览阅读143次。当下是一个大数据的时代,各个行业都离不开数据的支持。因此,网络爬虫就应运而生。网络爬虫当下最为火热的是Python,Python开发爬虫相对简单,而且功能库相当完善,力压众多开发语言。本次教程我们爬取前程无忧的招聘信息来分析Python程序员需要掌握那些编程技术。首先在谷歌浏览器打开前程无忧的首页,按F12打开浏览器的开发者工具。浏览器开发者工具是用于捕捉网站的请求信息,通过分析请求信息可以了解请..._初级python程序员能力要求

Spring @Service生成bean名称的规则(当类的名字是以两个或以上的大写字母开头的话,bean的名字会与类名保持一致)_@service beanname-程序员宅基地

文章浏览阅读7.6k次,点赞2次,收藏6次。@Service标注的bean,类名:ABDemoService查看源码后发现,原来是经过一个特殊处理:当类的名字是以两个或以上的大写字母开头的话,bean的名字会与类名保持一致public class AnnotationBeanNameGenerator implements BeanNameGenerator { private static final String C..._@service beanname

随便推点

二叉树的各种创建方法_二叉树的建立-程序员宅基地

文章浏览阅读6.9w次,点赞73次,收藏463次。1.前序创建#include&lt;stdio.h&gt;#include&lt;string.h&gt;#include&lt;stdlib.h&gt;#include&lt;malloc.h&gt;#include&lt;iostream&gt;#include&lt;stack&gt;#include&lt;queue&gt;using namespace std;typed_二叉树的建立

解决asp.net导出excel时中文文件名乱码_asp.net utf8 导出中文字符乱码-程序员宅基地

文章浏览阅读7.1k次。在Asp.net上使用Excel导出功能,如果文件名出现中文,便会以乱码视之。 解决方法: fileName = HttpUtility.UrlEncode(fileName, System.Text.Encoding.UTF8);_asp.net utf8 导出中文字符乱码

笔记-编译原理-实验一-词法分析器设计_对pl/0作以下修改扩充。增加单词-程序员宅基地

文章浏览阅读2.1k次,点赞4次,收藏23次。第一次实验 词法分析实验报告设计思想词法分析的主要任务是根据文法的词汇表以及对应约定的编码进行一定的识别,找出文件中所有的合法的单词,并给出一定的信息作为最后的结果,用于后续语法分析程序的使用;本实验针对 PL/0 语言 的文法、词汇表编写一个词法分析程序,对于每个单词根据词汇表输出: (单词种类, 单词的值) 二元对。词汇表:种别编码单词符号助记符0beginb..._对pl/0作以下修改扩充。增加单词

android adb shell 权限,android adb shell权限被拒绝-程序员宅基地

文章浏览阅读773次。我在使用adb.exe时遇到了麻烦.我想使用与bash相同的adb.exe shell提示符,所以我决定更改默认的bash二进制文件(当然二进制文件是交叉编译的,一切都很完美)更改bash二进制文件遵循以下顺序> adb remount> adb push bash / system / bin /> adb shell> cd / system / bin> chm..._adb shell mv 权限

投影仪-相机标定_相机-投影仪标定-程序员宅基地

文章浏览阅读6.8k次,点赞12次,收藏125次。1. 单目相机标定引言相机标定已经研究多年,标定的算法可以分为基于摄影测量的标定和自标定。其中,应用最为广泛的还是张正友标定法。这是一种简单灵活、高鲁棒性、低成本的相机标定算法。仅需要一台相机和一块平面标定板构建相机标定系统,在标定过程中,相机拍摄多个角度下(至少两个角度,推荐10~20个角度)的标定板图像(相机和标定板都可以移动),即可对相机的内外参数进行标定。下面介绍张氏标定法(以下也这么称呼)的原理。原理相机模型和单应矩阵相机标定,就是对相机的内外参数进行计算的过程,从而得到物体到图像的投影_相机-投影仪标定

Wayland架构、渲染、硬件支持-程序员宅基地

文章浏览阅读2.2k次。文章目录Wayland 架构Wayland 渲染Wayland的 硬件支持简 述: 翻译一篇关于和 wayland 有关的技术文章, 其英文标题为Wayland Architecture .Wayland 架构若是想要更好的理解 Wayland 架构及其与 X (X11 or X Window System) 结构;一种很好的方法是将事件从输入设备就开始跟踪, 查看期间所有的屏幕上出现的变化。这就是我们现在对 X 的理解。 内核是从一个输入设备中获取一个事件,并通过 evdev 输入_wayland

推荐文章

热门文章

相关标签