AHB2APB 同步桥的设计代码(Verilog)_ahb转apb verilog代码-程序员宅基地

技术标签: 项目笔记  verilog  


关于AHB2APB的设计请看:AHB2APB设计
关于AHB2APB的验证请看:AHB2APB验证


该简单的同步桥的功能包括:

  • 不支持PPROT、PSTRB;
  • 不支持PSLVERR反馈ERROR信号,pslverr一直置为低;
  • 不支持输出数据寄存;
  • 支持输入数据寄存;

注:下面先进行代码的拆解,完整代码附于文末。

部分代码解释


1. 数据寄存控制信号

数据是否需要寄存一拍的控制信号的产生,如下代码:

  //------数据寄存-------
  wire                   rdata_reg_param; // REGISTER_RDATA,寄存读数据
  wire                   wdata_reg_param; // REGISTER_WDATA ,寄存写数据
  assign rdata_reg_param = (REGISTER_RDATA)? 1'b1 : 1'b0;
  assign wdata_reg_param = (REGISTER_WDATA)? 1'b1 : 1'b0

2. 数据采样寄存的控制信号

输入数据什么时候采样到寄存器中停留一拍,这需要添加一个控制信号,产生如下:

  // 采样输入数据到寄存器的控制信号
  reg                    sample_wdata_flag; 
  wire sample_wdata_start     = apb_select & HWRITE ;
  wire sample_wdata_continue  = sample_wdata_flag & PCLKEN;

  always @(posedge HCLK or negedge HRESETn) begin
    if (~HRESETn)
      sample_wdata_flag <= 1'b0;
    else if (sample_wdata_start | sample_wdata_continue)
      sample_wdata_flag <= sample_wdata_start;
  end

解释上面代码:

  • 只有在 apb_select & HWRITE成立时,才会使sample_wdata_flag为高,进行HWDATA的采样寄存。
  • 如果PCLKEN有效沿到来,并且此时sample_wdata_flag为高,那么 sample_wdata_flag仍然继续保持为高;

3. APB的选通信号

产生APB的选通信号apb_select,如下代码:

  • 当AHB master开启传输时,APB才选通;
  • HTRANS[1]代表SEQ或NONSEQ状态,即有传输进行的状态;
//------产生APB的sel信号-------
  wire                   apb_sel;//状态机内部的信号
  assign apb_sel = HSEL & HREADY & HTRANS[1];

4. ahb slave的地址处理

为了保证AHB 在地址映射时不出错,要对 ahb slave的地址(PADDR)做处理,确保1KB对齐。

//------产生APB的PADDR信号-------
  reg      [ADDRWIDTH-3:0] addr_reg;//HADDR
  assign PADDR  = {
    addr_reg, 2'b00};
 //-----锁存控制信号------
 always@(posedge HCLK or negedge HRESETn) begin
   if(! HRESETn) begin
     addr_reg  <= 0;
     write_reg <=0;
   end
   else if(apb_select) begin
     addr_reg  <= HADDR[ADDRWIDTH-1:2];//为了保证地址1KB对齐
     write_reg <= HWRITE;
   end
 end
 

5. 状态机的描述

状态机的描述,采用三段式描述如下:

/*********************************
*--------==== 状态机 ====------
*********************************/
  reg state,next_state;
  
  //状态编码
  parameter  IDLE   = 2'b00;//空闲状态
  parameter  WAIT   = 2'b01;//等待输入数据寄存一拍
  parameter  SETUP  = 2'b10;//传输建立状态,开始传输
  parameter  ENABLE = 2'b11;//传输完成状态,判断是否有下一次传输继续
 
 always @(state or PREADY or PSLVERR or apb_select or rdata_reg_param or
           PCLKEN or wdata_reg_param or HWRITE) 
    begin
    case (state)
      IDLE : begin
          if (PCLKEN & apb_select & HWRITE)
             next_state = WAIT; // 如果是写操作,就寄存一拍
          else if (apb_select)
             next_state = SETUP; // 如果是读操作,就不寄存
          else
             next_state = IDLE; // 其他情况则保持IDLE
      end
     
      WAIT : begin
        if (PCLKEN)
           next_state = SETUP; // 寄存一拍后到达SETUP状态,准备开始传输
        else
           next_state = WAIT; // PCLKEN 没到之前,保持WAIT
      end
     
     SETUP : begin
        if (PCLKEN)
           next_state = ENABLE;   // 
        else
           next_state = SETUP;   // 
     end
     
     ENABLE : begin
        if (PREADY & PCLKEN & apb_sel) 
           next_state = SETUP;  //如果紧接着还有传输  
        else if(!apb_sel)
           next_state = IDLE;
        else //如果此时PREDY为低
           next_state = ENABLE; //PCLKEN没到之前,保持ENABLE状态
     end
   
    endcase
  end

  always @(posedge HCLK or negedge HRESETn) begin
   if (~HRESETn)
      state <= 2'b00;
   else
      state <= next_state;
  end
  
  always @(posedge HCLK or negedge HRESETn) begin
   if (~HRESETn)
      wdata_reg <= {
    32{
    1'b0}};
   else if (PCLKEN & sample_wdata_flag)
      wdata_reg <= HWDATA;
   else 
      wdata_reg <= wdata_reg;
  end

6. HREADYOUT信号的产生

HREADYOUT信号的产生,如下:

//-------HREADYOUT信号的产生-------
  always @(state or PREADY or PCLKEN) begin
    case (state)
      IDLE   : HREADYOUT = 1'b1; 
      WAIT   : HREADYOUT = 1'b0; 
      SETUP  : HREADYOUT = 1'b0; 
      ENABLE : HREADYOUT = PREADY & PCLKEN;   
    endcase
  end

7. 返回给AHB的信号

返回给AHB的信号,如下:

  //------返回给AHB的信号------- 
  assign HRDATA = PRDATA;
  assign HRESP  = 1'b0;


8. APB信号的生成

传递给APB的信号,如下:

  //------产生APB的信号-------
  wire                   apb_sel;//状态机内部的信号
  reg    [ADDRWIDTH-3:0] addr_reg;//HADDR
  reg                    write_reg;//HWRITE
  
  assign apb_sel = HSEL & HREADY & HTRANS[1];
  assign PADDR   = {
    addr_reg, 2'b00}; 
  assign PWRITE  = write_reg; 
  assign PWDATA  = wdata_reg;
  assign PSEL    = (state == SETUP) | (state == ENABLE);
  assign PENABLE = (state == ENABLE);
  assign PSLVERR = 1'b0;
  assign APBACTIVE = (HSEL & HTRANS[1]) | (state != 2'b00);

完整代码

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/SummerXRT/article/details/119901521

智能推荐

攻防世界_难度8_happy_puzzle_攻防世界困难模式攻略图文-程序员宅基地

文章浏览阅读645次。这个肯定是末尾的IDAT了,因为IDAT必须要满了才会开始一下个IDAT,这个明显就是末尾的IDAT了。,对应下面的create_head()代码。,对应下面的create_tail()代码。不要考虑爆破,我已经试了一下,太多情况了。题目来源:UNCTF。_攻防世界困难模式攻略图文

达梦数据库的导出(备份)、导入_达梦数据库导入导出-程序员宅基地

文章浏览阅读2.9k次,点赞3次,收藏10次。偶尔会用到,记录、分享。1. 数据库导出1.1 切换到dmdba用户su - dmdba1.2 进入达梦数据库安装路径的bin目录,执行导库操作  导出语句:./dexp cwy_init/[email protected]:5236 file=cwy_init.dmp log=cwy_init_exp.log 注释:   cwy_init/init_123..._达梦数据库导入导出

js引入kindeditor富文本编辑器的使用_kindeditor.js-程序员宅基地

文章浏览阅读1.9k次。1. 在官网上下载KindEditor文件,可以删掉不需要要到的jsp,asp,asp.net和php文件夹。接着把文件夹放到项目文件目录下。2. 修改html文件,在页面引入js文件:<script type="text/javascript" src="./kindeditor/kindeditor-all.js"></script><script type="text/javascript" src="./kindeditor/lang/zh-CN.js"_kindeditor.js

STM32学习过程记录11——基于STM32G431CBU6硬件SPI+DMA的高效WS2812B控制方法-程序员宅基地

文章浏览阅读2.3k次,点赞6次,收藏14次。SPI的详情简介不必赘述。假设我们通过SPI发送0xAA,我们的数据线就会变为10101010,通过修改不同的内容,即可修改SPI中0和1的持续时间。比如0xF0即为前半周期为高电平,后半周期为低电平的状态。在SPI的通信模式中,CPHA配置会影响该实验,下图展示了不同采样位置的SPI时序图[1]。CPOL = 0,CPHA = 1:CLK空闲状态 = 低电平,数据在下降沿采样,并在上升沿移出CPOL = 0,CPHA = 0:CLK空闲状态 = 低电平,数据在上升沿采样,并在下降沿移出。_stm32g431cbu6

计算机网络-数据链路层_接收方收到链路层数据后,使用crc检验后,余数为0,说明链路层的传输时可靠传输-程序员宅基地

文章浏览阅读1.2k次,点赞2次,收藏8次。数据链路层习题自测问题1.数据链路(即逻辑链路)与链路(即物理链路)有何区别?“电路接通了”与”数据链路接通了”的区别何在?2.数据链路层中的链路控制包括哪些功能?试讨论数据链路层做成可靠的链路层有哪些优点和缺点。3.网络适配器的作用是什么?网络适配器工作在哪一层?4.数据链路层的三个基本问题(帧定界、透明传输和差错检测)为什么都必须加以解决?5.如果在数据链路层不进行帧定界,会发生什么问题?6.PPP协议的主要特点是什么?为什么PPP不使用帧的编号?PPP适用于什么情况?为什么PPP协议不_接收方收到链路层数据后,使用crc检验后,余数为0,说明链路层的传输时可靠传输

软件测试工程师移民加拿大_无证移民,未受过软件工程师的教育(第1部分)-程序员宅基地

文章浏览阅读587次。软件测试工程师移民加拿大 无证移民,未受过软件工程师的教育(第1部分) (Undocumented Immigrant With No Education to Software Engineer(Part 1))Before I start, I want you to please bear with me on the way I write, I have very little gen...

随便推点

Thinkpad X250 secure boot failed 启动失败问题解决_安装完系统提示secureboot failure-程序员宅基地

文章浏览阅读304次。Thinkpad X250笔记本电脑,装的是FreeBSD,进入BIOS修改虚拟化配置(其后可能是误设置了安全开机),保存退出后系统无法启动,显示:secure boot failed ,把自己惊出一身冷汗,因为这台笔记本刚好还没开始做备份.....根据错误提示,到bios里面去找相关配置,在Security里面找到了Secure Boot选项,发现果然被设置为Enabled,将其修改为Disabled ,再开机,终于正常启动了。_安装完系统提示secureboot failure

C++如何做字符串分割(5种方法)_c++ 字符串分割-程序员宅基地

文章浏览阅读10w+次,点赞93次,收藏352次。1、用strtok函数进行字符串分割原型: char *strtok(char *str, const char *delim);功能:分解字符串为一组字符串。参数说明:str为要分解的字符串,delim为分隔符字符串。返回值:从str开头开始的一个个被分割的串。当没有被分割的串时则返回NULL。其它:strtok函数线程不安全,可以使用strtok_r替代。示例://借助strtok实现split#include <string.h>#include <stdio.h&_c++ 字符串分割

2013第四届蓝桥杯 C/C++本科A组 真题答案解析_2013年第四届c a组蓝桥杯省赛真题解答-程序员宅基地

文章浏览阅读2.3k次。1 .高斯日记 大数学家高斯有个好习惯:无论如何都要记日记。他的日记有个与众不同的地方,他从不注明年月日,而是用一个整数代替,比如:4210后来人们知道,那个整数就是日期,它表示那一天是高斯出生后的第几天。这或许也是个好习惯,它时时刻刻提醒着主人:日子又过去一天,还有多少时光可以用于浪费呢?高斯出生于:1777年4月30日。在高斯发现的一个重要定理的日记_2013年第四届c a组蓝桥杯省赛真题解答

基于供需算法优化的核极限学习机(KELM)分类算法-程序员宅基地

文章浏览阅读851次,点赞17次,收藏22次。摘要:本文利用供需算法对核极限学习机(KELM)进行优化,并用于分类。

metasploitable2渗透测试_metasploitable2怎么进入-程序员宅基地

文章浏览阅读1.1k次。一、系统弱密码登录1、在kali上执行命令行telnet 192.168.26.1292、Login和password都输入msfadmin3、登录成功,进入系统4、测试如下:二、MySQL弱密码登录:1、在kali上执行mysql –h 192.168.26.129 –u root2、登录成功,进入MySQL系统3、测试效果:三、PostgreSQL弱密码登录1、在Kali上执行psql -h 192.168.26.129 –U post..._metasploitable2怎么进入

Python学习之路:从入门到精通的指南_python人工智能开发从入门到精通pdf-程序员宅基地

文章浏览阅读257次。本文将为初学者提供Python学习的详细指南,从Python的历史、基础语法和数据类型到面向对象编程、模块和库的使用。通过本文,您将能够掌握Python编程的核心概念,为今后的编程学习和实践打下坚实基础。_python人工智能开发从入门到精通pdf

推荐文章

热门文章

相关标签