”vsim-19“ 的搜索结果

     System Verilog学习Data types常量整型常量实型常量字符串常量数组常量结构体常量时间文本值整型logic类型实数字符串空类型动态数组队列联合数组数组的操作语法procedural statement新操作符强制转换 ...

     MT6253 所有非V1.0版本的datasheet都没有详细对各个GPIO的描述都不详尽。而V1.0版本的datasheet又必须要有MTK的证书连接到他们的服务器才能看到的。因此,以下列表的内容花了我不少时间收集才整理出来。...

     引言前面,我们介绍过对裸机程序进行RTL仿真,那些裸机程序规模比较小,只有几KB大小。另外,我们也已经实现了针对O_board的SoC进行了RTL仿真...1,DDR2仿真模型的修改针对ML501的ORPSoC工程中,默认配置

     写在前面的话学过MCU设计的朋友都知道,系统调试是多么的重要。而对于FPGA设计来说,仿真确实最重要的。一个完整的项目,必须有完整的仿真平台。有朋友说,按键仿真模型没法搞。 我只能说,你并不了解硬件及处理按键...

     因为笔者鸽了,所以这里的代码就截止到 lab2 了,lab3 和 lab4 的代码在异常处理流那篇文章中有。

     1.目的 实现採样率fs=50MHz,通带为5MHz~15MHz。阻带衰减60dB的IIR带通滤波器 2.方案 採取直接型 3.具体设计 (1)确定滤波器的系数,系数和滤波器输出量化位宽 先依据要求的fs,fc1,fc2以及阻带衰减确定...

     Abstract 本文介紹使用ModelSim做前仿真,並搭配Quartus II與ModelSim作後仿真。 Introduction 使用環境:Quartus II 8.1 + ModelSim-Altera 6.3g ...由於FPGA可重複編程,所以不少開發人員就不寫testbench,直接...

     SOC课程——⑥——常见例题和面试题1例题12 例题23 例题34 例题4,时钟分频问题4.1 非50%分频4.2 50%分频5 例题56 例题6 :平方、立方和阶乘7 例题7:状态机8 例题89 例题910 例题1011 例题1112 例题12 交通灯 ...

MTK modemlog分析

标签:   mtk  modem  call

     Call流程 无论是在CS还是ims域看掉话问题首先先分析是否校准以及写入IMEI号 在 kernel-3.18及其以前的老平台中可以通过随机接入来分析DUT是否有校准,如果信号还算良好,但频繁随机接入失败。那么可能是没有校准或rf...

     案例一 : kernel重启 - mt6580.dtsi 现象 : 平台 : androidN,MTK6580 排查过程: 1. 打串口log,发现如下: [ 1.607970] <2>.(2)[1:swapper/0]musb-hdrc musb-hdrc.0.auto: Cannot find usb ...

     引子:ModelSim是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。两款软件的功能都很强大,请自行研究。 注:本篇博文的软件环境为:Debussy ...

     [email protected],time=2015-12-19 01:26:48,ip=14.153.125.200,doctitle=SIM_debug_SOP.docx,company=JOYA_TABLETTable of Contents1. SIM 卡不识别 ................................................

     1.不使用状态机 在UART接收时,采集一帧数据的中间8位有效位,忽略开始位与停止位;在UART发送时,将发送的并行8位数据转为串行数据,并添加开始位与停止位。 UART中的一帧数据为10位,空闲时均为高电平,在检测...

     转自http://blog.csdn.net/lg2lh https://blog.csdn.net/lg2lh/article/details/51213440点击打开链接我们之前介绍了如何使用Modelsim SE进行仿真和利用do文件的仿真方法,但是其中待仿真的模块是我们自己编写的...

     MT6253 所有非V1.0版本的datasheet都没有详细对各个GPIO的描述都不详尽。而V1.0版本的datasheet又必须要有MTK的证书连接到他们的服务器才能看到的。因此,以下列表的内容花了我不少时间收集才整理出来。...

     Abstract 本文介绍UVM框架,并以crc7为例进行UVM的验证,最后指出常见的UVM验证开发有哪些坑,以及怎么避免。 Introduction 本例使用环境:ModelSim 10.2c,UVM-1.1d,Quartus II 13.1(64 bit),器件库MAX V ...

9   
8  
7  
6  
5  
4  
3  
2  
1