使用 Verilog 实现排序_verilog 排序-程序员宅基地

技术标签: 排序  硬件  硬件学习  状态机  Verilog  排序数据通路设计  FPGA硬件设计  

实现目标

输入 N = 4 N=4 N=4 个数,通过简单选择排序,对这个四个数进行简单选择排序:每次选择最小的,将最小的数移到起始位置,之后输出。这是同步时序电路和状态机的高级应用。

伪代码

设输入 N N N 个数,将其存在寄存器数组 R R R 中;两个循环变量 i , j i,j i,j;将每次遍历到的数分别赋值给 A , B A,B A,B
简单选择排序的伪代码可以描述如下:

for i = 0 to N-2 do
	A = R[i];
	for j = i to N-1 do
		B = R[j];
		if B < A then
			R[i] = B;
			R[j] = A;
			A = R[i];
		end if;
	end for;
end for;

数据通路设计

主要牵涉到两大块结构:

  • 状态机(控制逻辑)的设计
  • 数据存储的设计

状态机的设计

总共设置9个状态,来执行完成该设计。状态图如下:
在这里插入图片描述

数据存储

设置4个 n n n bit 的寄存器,用来存储输入数据,至于存储的地址(寄存器编号)则作为输入端口,由用户给出。存储器的设计不光要照顾到对输入数据的存储,还需要对每次循环迭代到的 A , B A,B A,B 进行存储,并且 A , B A,B A,B 还需要写回,尤其当 B < A B<A B<A 成立的时候,需要交换写回。所以还需要设置两个 n n n bit 寄存器用来存储 A , B A,B A,B

至于写回的地址设置,则需要根据判断条件给出。设计的存储模块如下:
在这里插入图片描述
其中有很多信号量,将会在代码里给出注释。

代码编写

编写的 Verilog 代码如下:

module sort (
	input			clk		,
	input			rst_n	,
	input			s		,
	input			WrInit	,
	input	[1:0]	RAdd	,
	input	[15:0]	DataIn	,
	input			Rd		,

	output	[15:0]	DataOut	,
	output	reg		Done
);

	localparam	[3:0]	S1 = 4'b0000,
						S2 = 4'b0001,
						S3 = 4'b0010,
						S4 = 4'b0011,
						S5 = 4'b0100,
						S6 = 4'b0101,
						S7 = 4'b0110,
						S8 = 4'b0111,
						S9 = 4'b1000;
	// 表示两个循环变量
	wire [1:0] Ci, Cj;
	wire [1:0] CMux, IMux;
	// CMus is Ci or Cj 
	// IMux is the read adder
	wire [15:0] R0, R1, R2, R3, A, B, RData, ABMux;

	// A B 的大小判断和循环变量是否达到上界
	wire BltA, zi, zj;

	reg Int, Csel, Wr, Ain, Bin, Bout; 
	reg LI, LJ, EI, EJ; // ports for count
	reg Rin0, Rin1, Rin2, Rin3; // enable for regs

	reg [15:0] ABData;
	
	reg [3:0] curr_state;
	reg [3:0] next_state;
	always@(posedge clk or negedge rst_n) begin:state_ff
		if (!rst_n) curr_state <= S1;
		else		curr_state <= next_state;
	end
	
	always@(*) begin: state_table
		Int = 1; Done = 0; Csel = 0; Wr = 0; Ain = 0; Bin = 0; Bout = 0;
		LI = 0; LJ = 0; EI = 0; EJ = 0; 
		case(curr_state) 
			S1: begin
				LI = 1;  // make Ci be 0 
				Int = 0; // indicate the initial process
				if (s == 0) next_state = S1;
				else next_state = S2;
			end
			S2: begin
				//Int = 1;
				//Csel = 0; // 
				Ain = 1; // make A  be R[Ci]
				LJ = 1;  // make Cj be 0
				next_state = S3;
			end
			S3: begin
				EJ = 1; // make Cj = Cj + 1
				next_state = S4;
			end
			S4: begin
				Bin  = 1; // make B be R[Cj]
				Csel = 1; // 
				//Wr   = 1; // write enable
				next_state = S5;
			end
			S5: begin
				if (BltA) next_state = S6;
				else next_state = S8;
			end
			S6: begin
				Csel = 1;
				Wr = 1;
				next_state = S7;
			end
			S7: begin
				Wr = 1;
				Bout = 1;
				next_state = S8;
			end
			S8: begin
				Ain = 1;
				if (!zj) begin     // Cj < 3
					EJ = 1;
					EI = 0;
					next_state = S4;
				end
				else if (!zi) begin // Ci < 2
					EJ = 0;
					EI = 1;
					next_state = S2;
				end
				else 
					next_state = S9;
			end
			S9: begin
				Done = 1;
				if (s) next_state = S9;
				else next_state = S1;
			end
		endcase

	end
	
	// Instance Modules
	
	reg16 Reg0 (clk, rst_n, RData, Rin0, R0);
	reg16 Reg1 (clk, rst_n, RData, Rin1, R1);
	reg16 Reg2 (clk, rst_n, RData, Rin2, R2);
	reg16 Reg3 (clk, rst_n, RData, Rin3, R3);
	
	reg16 RegA (clk, rst_n, ABData, Ain, A);
	reg16 RegB (clk, rst_n, ABData, Bin, B);

	upcount OuterLoop (clk, EI, 2'b0, LI, Ci);
	upcount InnerLoop (clk, EJ,   Ci, LJ, Cj);
	
	assign BltA = (B < A) ? 1 : 0;
	
	// when BltA == 1 then Bout will be 1
	assign ABMux = (Bout   == 0) ? A      : B;
	assign RData = (WrInit == 1) ? DataIn : ABMux;

	// CMux decides the writing order of A and B
	assign CMux = (Csel == 0) ? Ci   : Cj;
	assign IMux = (Int  == 1) ? CMux : RAdd;
	
	assign zi = (Ci == 2);
	assign zj = (Cj == 3);

	// the regs' reading and writing
	always@(*) begin
		// choose the regs out
		case(IMux)
			0: ABData = R0;
			1: ABData = R1;
			2: ABData = R2;
			3: ABData = R3;
		endcase

		// the write enable is valid
		// WrInit for initial and Wr for the write back of A or B 
		if (WrInit || Wr) begin
			case (IMux)
				0: {
    Rin3, Rin2, Rin1, Rin0} = 4'b0001;
				1: {
    Rin3, Rin2, Rin1, Rin0} = 4'b0010;
				2: {
    Rin3, Rin2, Rin1, Rin0} = 4'b0100;
				3: {
    Rin3, Rin2, Rin1, Rin0} = 4'b1000;
			endcase
		end
		else {
    Rin3, Rin2, Rin1, Rin0} = 4'b0000;

	end
	// rd read data 信号有效时,将四个寄存器的数据依次读出(需要仿真给予激励信号)
	assign DataOut = (Rd == 0) ? 'bz : ABData;


endmodule
// 定义的 16 bit 寄存器
module reg16 (
	input				clk		,
	input				rst_n	,
	input		[15:0]	D		,
	input 				en		,
	output	reg	[15:0]	Q
);

	always@(posedge clk or negedge rst_n) begin
		if (!rst_n) 
			Q <= 16'b0;
		else if (en)
			Q <= D;
		else
			Q <= Q;
	end

endmodule
// 定义的计数器,带使能、清零、起始值
module upcount (
	input 				clk		,
	input				en		,
	input		[1:0]	init	,
	input				clear	,
	output	reg	[1:0]	Q
);

	always@(posedge clk) begin
		if (clear)
			Q <= init;
		else if (en) 
			Q <= Q + 1;
		else
			Q <= Q;
	end

endmodule

仿真结果如下图所示:
在这里插入图片描述
在这里插入图片描述

附上仿真代码:

module sort_tb;

reg clk;
reg rst_n;
reg s;
reg WrInit;
reg [1:0] RAdd;
reg [15:0] DataIn;
reg Rd;

wire [15:0] DataOut;
wire Done;


initial begin
	clk = 0;
	forever begin
		#5 clk = ~clk;
	end
end

initial begin
	rst_n = 0;
	#20 rst_n = 1;
	WrInit = 1;
	DataIn = 3;
	s = 0;
	RAdd = 0;
	Rd = 0;
	#10 DataIn = 2;
	RAdd = 1;
	#10 DataIn = 4;
	RAdd = 2;
	#10 DataIn = 1;
	RAdd = 3;
	#10 WrInit = 0;
	DataIn = 0;
	RAdd = 0;
	s = 1;
	#1200 Rd = 1;
	s = 0;
	RAdd = 0;
	#10 RAdd = 1;
	#10 RAdd = 2;
	#10 RAdd = 3;
	#10 RAdd = 0;
	#100 $finish;
end

initial begin
	$vcdpluson;
end

sort ssort (
	.clk(clk),
	.rst_n(rst_n),
	.s(s),
	.WrInit(WrInit),
	.RAdd(RAdd),
	.DataIn(DataIn),
	.Rd(Rd),

	.DataOut(DataOut),
	.Done(Done)

);
endmodule

有错误欢迎指出。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/wangbowj123/article/details/117624834

智能推荐

分布式光纤传感器的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告_预计2026年中国分布式传感器市场规模有多大-程序员宅基地

文章浏览阅读3.2k次。本文研究全球与中国市场分布式光纤传感器的发展现状及未来发展趋势,分别从生产和消费的角度分析分布式光纤传感器的主要生产地区、主要消费地区以及主要的生产商。重点分析全球与中国市场的主要厂商产品特点、产品规格、不同规格产品的价格、产量、产值及全球和中国市场主要生产商的市场份额。主要生产商包括:FISO TechnologiesBrugg KabelSensor HighwayOmnisensAFL GlobalQinetiQ GroupLockheed MartinOSENSA Innovati_预计2026年中国分布式传感器市场规模有多大

07_08 常用组合逻辑电路结构——为IC设计的延时估计铺垫_基4布斯算法代码-程序员宅基地

文章浏览阅读1.1k次,点赞2次,收藏12次。常用组合逻辑电路结构——为IC设计的延时估计铺垫学习目的:估计模块间的delay,确保写的代码的timing 综合能给到多少HZ,以满足需求!_基4布斯算法代码

OpenAI Manager助手(基于SpringBoot和Vue)_chatgpt网页版-程序员宅基地

文章浏览阅读3.3k次,点赞3次,收藏5次。OpenAI Manager助手(基于SpringBoot和Vue)_chatgpt网页版

关于美国计算机奥赛USACO,你想知道的都在这_usaco可以多次提交吗-程序员宅基地

文章浏览阅读2.2k次。USACO自1992年举办,到目前为止已经举办了27届,目的是为了帮助美国信息学国家队选拔IOI的队员,目前逐渐发展为全球热门的线上赛事,成为美国大学申请条件下,含金量相当高的官方竞赛。USACO的比赛成绩可以助力计算机专业留学,越来越多的学生进入了康奈尔,麻省理工,普林斯顿,哈佛和耶鲁等大学,这些同学的共同点是他们都参加了美国计算机科学竞赛(USACO),并且取得过非常好的成绩。适合参赛人群USACO适合国内在读学生有意向申请美国大学的或者想锻炼自己编程能力的同学,高三学生也可以参加12月的第_usaco可以多次提交吗

MySQL存储过程和自定义函数_mysql自定义函数和存储过程-程序员宅基地

文章浏览阅读394次。1.1 存储程序1.2 创建存储过程1.3 创建自定义函数1.3.1 示例1.4 自定义函数和存储过程的区别1.5 变量的使用1.6 定义条件和处理程序1.6.1 定义条件1.6.1.1 示例1.6.2 定义处理程序1.6.2.1 示例1.7 光标的使用1.7.1 声明光标1.7.2 打开光标1.7.3 使用光标1.7.4 关闭光标1.8 流程控制的使用1.8.1 IF语句1.8.2 CASE语句1.8.3 LOOP语句1.8.4 LEAVE语句1.8.5 ITERATE语句1.8.6 REPEAT语句。_mysql自定义函数和存储过程

半导体基础知识与PN结_本征半导体电流为0-程序员宅基地

文章浏览阅读188次。半导体二极管——集成电路最小组成单元。_本征半导体电流为0

随便推点

【Unity3d Shader】水面和岩浆效果_unity 岩浆shader-程序员宅基地

文章浏览阅读2.8k次,点赞3次,收藏18次。游戏水面特效实现方式太多。咱们这边介绍的是一最简单的UV动画(无顶点位移),整个mesh由4个顶点构成。实现了水面效果(左图),不动代码稍微修改下参数和贴图可以实现岩浆效果(右图)。有要思路是1,uv按时间去做正弦波移动2,在1的基础上加个凹凸图混合uv3,在1、2的基础上加个水流方向4,加上对雾效的支持,如没必要请自行删除雾效代码(把包含fog的几行代码删除)S..._unity 岩浆shader

广义线性模型——Logistic回归模型(1)_广义线性回归模型-程序员宅基地

文章浏览阅读5k次。广义线性模型是线性模型的扩展,它通过连接函数建立响应变量的数学期望值与线性组合的预测变量之间的关系。广义线性模型拟合的形式为:其中g(μY)是条件均值的函数(称为连接函数)。另外,你可放松Y为正态分布的假设,改为Y 服从指数分布族中的一种分布即可。设定好连接函数和概率分布后,便可以通过最大似然估计的多次迭代推导出各参数值。在大部分情况下,线性模型就可以通过一系列连续型或类别型预测变量来预测正态分布的响应变量的工作。但是,有时候我们要进行非正态因变量的分析,例如:(1)类别型.._广义线性回归模型

HTML+CSS大作业 环境网页设计与实现(垃圾分类) web前端开发技术 web课程设计 网页规划与设计_垃圾分类网页设计目标怎么写-程序员宅基地

文章浏览阅读69次。环境保护、 保护地球、 校园环保、垃圾分类、绿色家园、等网站的设计与制作。 总结了一些学生网页制作的经验:一般的网页需要融入以下知识点:div+css布局、浮动、定位、高级css、表格、表单及验证、js轮播图、音频 视频 Flash的应用、ul li、下拉导航栏、鼠标划过效果等知识点,网页的风格主题也很全面:如爱好、风景、校园、美食、动漫、游戏、咖啡、音乐、家乡、电影、名人、商城以及个人主页等主题,学生、新手可参考下方页面的布局和设计和HTML源码(有用点赞△) 一套A+的网_垃圾分类网页设计目标怎么写

C# .Net 发布后,把dll全部放在一个文件夹中,让软件目录更整洁_.net dll 全局目录-程序员宅基地

文章浏览阅读614次,点赞7次,收藏11次。之前找到一个修改 exe 中 DLL地址 的方法, 不太好使,虽然能正确启动, 但无法改变 exe 的工作目录,这就影响了.Net 中很多获取 exe 执行目录来拼接的地址 ( 相对路径 ),比如 wwwroot 和 代码中相对目录还有一些复制到目录的普通文件 等等,它们的地址都会指向原来 exe 的目录, 而不是自定义的 “lib” 目录,根本原因就是没有修改 exe 的工作目录这次来搞一个启动程序,把 .net 的所有东西都放在一个文件夹,在文件夹同级的目录制作一个 exe._.net dll 全局目录

BRIEF特征点描述算法_breif description calculation 特征点-程序员宅基地

文章浏览阅读1.5k次。本文为转载,原博客地址:http://blog.csdn.net/hujingshuang/article/details/46910259简介 BRIEF是2010年的一篇名为《BRIEF:Binary Robust Independent Elementary Features》的文章中提出,BRIEF是对已检测到的特征点进行描述,它是一种二进制编码的描述子,摈弃了利用区域灰度..._breif description calculation 特征点

房屋租赁管理系统的设计和实现,SpringBoot计算机毕业设计论文_基于spring boot的房屋租赁系统论文-程序员宅基地

文章浏览阅读4.1k次,点赞21次,收藏79次。本文是《基于SpringBoot的房屋租赁管理系统》的配套原创说明文档,可以给应届毕业生提供格式撰写参考,也可以给开发类似系统的朋友们提供功能业务设计思路。_基于spring boot的房屋租赁系统论文

推荐文章

热门文章

相关标签